世界各国のリアルタイムなデータ・インテリジェンスで皆様をお手伝い

高誘電材料とALD金属前駆体(プリカーサー)


ALD/ High K Metal Precursors Market Report CMR 2023-2024

米国の半導体材料専門の調査会社テクセット社の調査レポート 「高誘電材料とALD金属前駆体」 は、高誘電/ALD金属前駆体(プリカーサ)市場を詳細に調査・分析しています。 2023-2024 Critical Materials R... もっと見る

 

 

出版社 出版年月 電子版価格 ページ数 図表数 言語
Techcet
テクセット社
2023年6月9日 US$8,900
サイトライセンス
ライセンス・価格情報・注文方法はこちら
166 102 英語

 

サマリー

米国の半導体材料専門の調査会社テクセット社の調査レポート「高誘電材料とALD金属前駆体」は、高誘電/ALD金属前駆体(プリカーサ)市場を詳細に調査・分析しています。

2023-2024 Critical Materials Report (CMR) シリーズ
高誘電材料とALD/CVD金属前駆体に関する市場調査

  • 高誘電金属酸化物、バリア層、金属配線、キャッピング層などを含むCVD、ALDアプリケーションに対応した有機および無機前駆体の市場および技術動向情報を提供
  • サプライチェーンマネージャー、プロセスインテグレーションや研究開発の責任者、事業開発や財務のアナリストに焦点を当てた情報を提供
  • 主要サプライヤーの情報、材料サプライチェーンにおける課題やトレンド、サプライヤーのマーケットシェア予測、材料セグメントの予測などを網羅

レポート概要
本レポートは、無機ガスおよび液体CVD/ALDプリカーサ(金属、金属酸化物、高誘電率(High-k)材料、低誘電率(Low-k)材料、SOD材料)の市場および技術動向に関する情報を提供します。

過去20年間、半導体産業に特化したALDとCVDプリカーサに関する多くの研究論文と特許が発表されています。本レポートでは、新しいプリカーサの開発パスとロードマップ、およびこれらの材料が大量生産(HVM)に参入するための現在のEHSと規制のハードルについて詳述します。

あらゆるタイプのプリカーサについて、High-k、金属電極、インターコネクトメタライゼーション、犠牲層、Low-k誘電体、ハードマスク、マンドレル、エッチングストップ層など、最先端のフロントエンドの絶縁・導電材料を中心に予測を行っています。これらのプロセス分野は、最先端のロジック <45 nm、28 nm から 10/7 nm ノード、そして将来の 5 & 3 nm ノード、さらに先進の DRAM や 3DNAND 揮発性・不揮発性メモリに関連する高い成長の可能性があることから注目されています。



ページTOPに戻る


目次

TECHCET-CMR-ALDCVD-CMCF-060923CY
目次

1 エグゼクティブサマリー 12

1.1 地域別動向 - 金属前駆体 13
1.2 地域別動向:誘電体プリカーサ 14
1.3 地域別動向:プリカーサー市場全体 15
1.4 プリカーサー市場 - 過去と5年間の予測 16
1.5 CVD/ALDメタル&ハイKプリカーサ収益 2021〜2027年 17
1.6 評価 - メタル & 高誘電率 18
1.7 需給予測:WF6(2023年まで) 19
1.8 CVD/ALDメタルプリカーサーの収益(2021~2027年)(百万米ドル) 20
1.9 評価誘電体プリカーサー 21
1.10 CVD/ALD装置市場 22
1.11 アナリスト評価:Hi Kと金属前駆体 23
1.11.1 アナリスト評価:HI K & 金属前駆体(継続) 24
1.11.2 アナリスト評価 25

2 範囲、目的、および方法論 26

2.1 スコープ(範囲) 27
2.2 目的 28
2.3 方法論 29
2.4 テクセットの他のレポート概要 30

3 半導体産業の市場の現状と展望 31

3.1 世界経済 32
3.1.1 半導体産業と世界経済との関係 34
3.1.2 半導体売上高成長率 35
3.1.3 台湾の月次販売動向 36
3.1.4 特に2023年については不確実性が高い - 半導体売上高の伸びの鈍化からマイナス成長へ 37
3.2 電子機器セグメント別チップ売上高 38
3.2.1 スマートフォン 39
3.2.2 PCユニット出荷台数 40
3.2.3 サーバー/IT市場 43
3.3 半導体製造の成長・拡大 44
3.3.1 ファブ拡張の発表概要 45
3.3.2 世界的なファブの拡大が成長を牽引 47
3.3.3 設備投資動向 48
3.3.4 技術ロードマップ 49
3.3.5 ファブ投資評価 50
3.4 政策・貿易動向とその影響 51
3.5 半導体材料の概要 52
3.5.1 材料容量がチップの生産スケジュールを制限する可能性はあるか 53
3.5.2 ロジスティクスの問題は緩和された 54
3.5.3 2027年までのウエハース生産量予測 55
3.5.4 材料予測 56

4 プリカーサーの市場動向 57

4.1 市場動向 58
4.1.1 市場動向 - ウェーハスタート 60
4.1.2 市場トレンド:ウェーハスタートロジック 61
4.1.3 市場トレンド:ウェーハスタートドラマ 62
4.1.4 ウェハースタート・ナンドの市場動向 63
4.2 供給能力および需要、投資 64
4.2.1 WF6の需要促進要因 66
4.2.2 WF6市場の需要 67
4.2.3 WF6市場の需要、継続 68
4.2.4 Wf6市場の需要-MO ALD IP出願 69
4.2.5 WF6市場の需要 70
4.3 供給能力および需要、投資 71
4.3.1 供給能力および需要、投資:ハフニウムおよびジルコニウム 72
4.4 地域別動向:金属前駆体 73
4.4.1 地域別動向:金属前駆体 74
4.4.2 地域別動向:誘電体前駆体 75
4.4.3 地域別動向:プリカーサー総市場 76
4.4.4 地域別動向とドライバー 77
4.5 CVDおよびALD装置市場 79
4.5.1 世界経済予測:全タイプ 80
4.5.2 WFE予測:デポジション、エッチ&クリーン、リソグラフィー、メトロロジーなど 81
4.6 デバイスタイプ別技術的促進要因/材料変化とその変遷 82
4.6.1 過去10年間の一般的な傾向として、PVD and LPCVD、から PECVD and ALDへ 83
4.6.2 アドバンスト・ロジック・ノードHVMの推定値 84
4.6.3 ドラムノードの推定出荷台数 90
4.6.4 3d nand ノードの推定市場規模 93
4.6.5 デバイスセグメント別の機会に関するまとめ 98
4.7 半導体プロセス・材料動向 100
4.7.1 デバイスタイプ別エッチングプロセス-原子層エッチングエール 101
4.7.2 面積選択性蒸着 111
4.7.3 有向性自己組織化(DSA)およびEuv 112
4.7.4 有向性自己組織化(DSA)およびEuv(euv) 113
4.7.5 2次元遷移金属ジカルコゲナイド(TMD) 114
4.7.6 Euv用ドライレジスト 115
4.7.7 euvレジスト用アンダーレイヤー 119
4.7.8 その他の用途-ALD(Y2O3)によるチャンバーコーティング 121
4.7.9 その他の用途-光学系 122
4.8 EHSと物流問題 - ジルコニウムとハフニウム 123
4.8.1 EHSと物流問題-ジルコニウムとハフニウム 124
4.8.2 EHSとロジスティクスの問題 - チタン 125
4.8.3 EHSとロジスティクスの問題 - タングステン 126
4.8.4 EHSとロジスティクスの問題 - タングステン 127
4.8.5 EHSとロジスティクスの問題 - コバルト 128
4.8.6 EHSと物流上の問題-ルテニウム 129
4.8.7 半導体製造に伴う温室効果ガス 130
4.8.8 euvとエネルギー133
4.8.9 原子層堆積(ALD)プロセスの環境負荷の評価と低減への道筋 134
4.9 標準パッケージング/バルブの種類の変化 135
4.10 市場評価 136

5 セグメント別市場統計と予測 137

5.1 プリカーサー市場 - 過去および5年予測 138
5.1.1 CVD/アルドメタル&High-kプリカーサーの売上高 2021~2027 139
5.1.2 需給予測:WF6(2023年まで) 140
5.1.3 評価 - メタル&ハイキック 141
5.2 誘電体プリカーサーの2021~2027年の売上高(百万USドル) 142
5.2.1 アセスメント 誘電体プリカーサー 143
5.3 M&A活動 145
5.3.1 M&A活動 - メルク&メカロ 146
5.4 新工場 147
5.4.1 新工場 148
5.4.2 新製品 149
5.4.3 新工場 150
5.4.4 新工場 151
5.5 サプライヤーの工場閉鎖 - 報告なし 152
5.6 新規参入:ドライレジストコンソーシアム 153
5.7 価格動向 154
5.7.1 価格動向 - ハフニウム 155

6 サブティアの材料サプライチェーン 157

6.1 サブティアサプライチェーン:序章 158
6.1.1 サブティアサプライチェーン:ディスラプションとチャイナ 159
6.2 ロジスティクス 161
6.2.1 ロジスティクス(続き) 162
6.3 サブティアサプライチェーンの「新規」参入 - 報告なし 163
6.4 サブティアサプライチェーンのプラント更新-新規-報告なし 164
6.5 サブティアサプライチェーン技術者アナリスト評価 165

7 サプライヤーのプロファイル 166

ADEKA CORPORATION
AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
AZMAX CO., LTD
CITY CHEMICAL LLC
DNF CO., LTD

以上20社以上

ページTOPに戻る



図表リスト

図リスト

図1:金属前駆体市場シェア 2022年 13
図2:ハードマスク、低誘電率プリカーサの地域別シェア 2022年 14
図3:プリカーサーの地域別総市場(2022年)(百万米ドル) 15
図4:プリカーサーの総市場(百万米ドル) 16
図5:CVD/ALDメタル&High-kプリカーサ 2021年から2027年 17
図6:2027年までのWF6供給量と需要量 19
図7:誘電体プリカーサー市場 2021~2027年 20
図8:CVDとALDの総合装置市場 2022年 17-180億米ドル 21
図9:世界経済とエレクトロニクスサプライチェーン(2022 年) 34
図10: 世界の半導体売上高 35
図11: テクセットの台湾半導体産業指数(Ttsi)* 36
図12: 2023年半導体産業の収益成長予測 37
図13: 2022年半導体チップのアプリケーション 38
図14: 携帯電話出荷台数の世界推定値 39
図15: 世界のPCとタブレットの予測 40
図16:世界地域別電動化トレンド 41
図17:半導体の自動車生産台数 42
図18:TSMCフェニックスへの投資額は400億ドルと推定される 44
図19:チップの拡張 2022-2027 US$366 b 45
図20:世界の半導体チップ製造地域 47
図21:セグメント別設備投資額(世界)(単位:億米ドル) 48
図22: 先端ロジックデバイス技術ロードマップの概要 49
図23:インテル・オハイオ工場の 2023 年 2 月と完成予想図(下) 50
図24: 欧州のチップ拡張の進展 53
図25:LAポート 54
図26: テックセット社のノードセグメント別ウェーハスタート予測** 55
図27:世界の半導体材料の展望 56
図28:ウェーハスタート数予測(2021~2027年) 60
図29:ロジック300mmウェーハスタート数の予測 61
図30:ウェーハスタート数予測:Dram 300 mm 62
図31: ウェハースタートナンド300 mmの予測 63
図32:3DNANDの市場シェア 2022年 66
図33: 3Dnandの構造 67
図34:MOの前駆体 68
図35:メモリ領域におけるモリブデンALDの特許ファミリー申請 69
図36:MOが導入される2つのタイミングを示すウェーハスタート予測(200mm換算で100万枚/年) 70
図37:金属ジルコニウムの需要 2021 年 160 万トン 72
図38:ハフニウムの金属需要 2021年 78トン 72
図39:金属前駆体の市場シェア 2022 年 74
図40:ハードマスク、低誘電率プリカーサの地域シェア 2022 年 75
図41:プリカーサーの地域別総市場(2022 年)(百万米ドル) 76
図42:CVDとALDの合計装置市場 2022年 17~180億米ドル 79
図43: 2022年半導体装置予測 80
図 44:2022 年のテクインサイトの WFE 支出額(上)と 2022 年のガートナーのノードあたりのWFE 支出額(下) 81
図 45: 3 次元デバイスのアーキテクチャ 83
図 46: 主要な IDM のロジック技術ノードロードマップ 84
図 47: サムスン、Gaa-Fet を用いた 3nm のパイロットランプ技術に着手する 85
図 48:IMEC 2022 年ロジックロードマップ 86
図 49: スケーリングとリソグラフィーのトレンド - 資本支出、エネルギー、排出にかかる高いコスト 87
図 50:Applied Materials Centura パターンシェーピングクラスター 88
図51:主要Idmsのドラマ技術ロードマップ 90
図 52: 3dram 分野での IP 申請が加速している 91
図53:主要IdmsのNANDテクノロジーロードマップ 93
図 54: 3d nandのスケーリング継続のためのパスウェイ 94
図55: 100L未満から4スタックまでの3DNANDスタックトレンド 95
図56:V-NANDにおけるメモリスタックの課題 96
図 57A: フィンフェットから GAA トランジスタへの移行図。デバイスの複雑さに対応するためには選択的エッチングが必要 101
図57B:エールのプロセスサイクル 101
図58: ALE(等方性エッチング)の候補を示す周期表 102
図59: ALE (等方性エッチング) の応用 103
図60: ALDとALEのコンボプロセス 104
図61: プラズマALEプロセスとサーマルALEプロセス 105
図62: RAM ALEプロセス 106
図63:ALD/ALEプロセスロードマップ 107
図64:2022年までの企業別ALE特許活動 108
図65:ALDによる面積選択性罪蒸着法(AVS ASD2022) 111
図66: DSAとEUSプロセス 112
図67:DSAによるレジスト整流 113
図68:単層チャネル形成のテムとアーティストレンダリング 114
図69:ゲート構造とピッチスケーリングを可能にするEuvリソグラフィー 115
図70:Euv半導体イメージ用ドライレジスト 116
図71:Dy euvレジストのグリーンメリット 117
図72:リソグラフィー後の金属酸化物レジストパターン用スピンオンカーボン(Soc)誘電体 118
図73:ナイトナユーブのアンダーレイヤー(誘電体)ハードマスクの傾向 119
図74:スピンオンプライマー(SOC)とHMDSプライマーの比較 HMDSプライマー 120
図75: Y2o3 ALDとHMDSの比較。スプレーコーティング 121
図76:チップファブの材料と装置による温室効果ガスへの寄与 130
図77:さまざまなプロセスとガスによる環境影響(GWP) 131
図78: エッチングガスによるCO2eq排出量 132
図 79: ロジックノードあたりの総排出量とエネルギー使用量の予測 133
図80: アルドの環境影響 134
図81: アンプルフリート(2020年、現場でのユニット数に基づく)のセグメント化 135
図82:プリカーサーの市場全体(百万米ドル) 138

表リスト

表1:誘電体プリカーサーの地域別売上高(百万米ドル) 14
表2:プリカーサーの地域別総市場(百万米ドル) 15
表3: 2017年から2027年までの5年CAGR 16
表4:世界のGDPと半導体の売上高* 32
表5:IMFの経済見通し* 33
表6:データセンターシステムおよび通信サービス市場の支出額(2022年) 43
表7:主要Idmsのプリカーサー使用量 73
表8: 誘電体プリカーサーの地域別市場規模 75
表9: トータルプリカーサーの市場規模:地域別 76
表10:プリカーサーの地域別市場 77
表11:ウェハーの地域別市場(続き) 78
表12:ロジックデバイスのデバイスタイプ別、材料別成膜プロセスの概要 89
表13:ドラマのデバイスタイプ別・材料別成膜プロセスの概要 92
表14:3Dnandのデバイスタイプ別、材料別成膜プロセスの概要 97
表15:デバイスタイプ別のガストレンドと機会 98
表16:デバイスタイプ別、材料別蒸着プロセスの概要 99
表17:プリカーサーの5年間のCAGR比較 138
表18:ジルコニウム鉱山の生産量と埋蔵量 156
表19:世界のタングステンの生産量と埋蔵量 159
表20:ジルコニウム鉱業 160

 

ページTOPに戻る


 

Summary

2023-2024 CMR ALD/CVD, HI K AND METAL PRECURSOR

  • Provides market and technical trend information on organic and inorganic precursors, addressing CVD, ALD applications including high κ metal-oxides, barrier layers, metal interconnects, and capping layers, among others.
    • Provides focused information for supply-chain managers, process integration and R&D directors, as well as business development and financial analysts
    • Covers information about key suppliers, issues/trends in the electronics material supply chain, estimates on supplier market share, and forecast for the electronics material segments

Description

This report provides market and technical trend information on inorganic gases and liquid CVD/ALD precursors (metal, metal oxide, high K, dielectric and SOD materials). For the last 20 years, there have been many research papers and patents published regarding ALD and CVD precursors specifically for the semiconductor industry. This report includes detail on the development path and roadmaps for new precursors and any current EHS and regulatory hurdles for these materials to enter into high volume manufacturing (HVM). Forecasts are provided on precursors of all types, with a focus is on the leading-edge front end of the line insulating and conductive materials, including high K, metal electrode, interconnect metallization, sacrificial layers, low-κ dielectrics, hard masks, mandrel, and etch stop layers. These process areas are of interest because of the high growth potential associated with leading-edge logic <45 nm, 28 nm to 10/7 nm nodes, and the future 5 & 3 nm nodes, as well as advanced DRAM and 3DNAND volatile and non-volatile memories.



ページTOPに戻る


Table of Contents

TECHCET-CMR-ALDCVD-CMCF-060923CY

Table of Contents

1  Executive Summary  12
1.1 REGIONAL TRENDS – METAL PRECURSORS                     13
1.2 REGIONAL TRENDS – DIELECTRIC PRECURSORS                14
1.3 REGIONAL TRENDS – TOTAL PRECURSOR MARKET                 15
1.4 PRECURSOR MARKET – HISTORICAL AND 5-YEAR FORECAST            16
1.5 CVD/ALD METAL & HIGH-K PRECURSOR REVENUE 2021 TO 2027         17
1.6 ASSESSMENT- METAL & HIGH-K                         18
1.7 SUPPLY-DEMAND FORECAST – WF6 (UNTIL 2023)                 19
1.8 CVD/ALD METAL PRECURSOR REVENUE 2021 TO 2027 (M USD)         20
1.9 ASSESSMENT DIELECTRIC PRECURSORS                     21
1.10 CVD AND ALD EQUIPMENT MARKET                    22
1.11  ANALYST ASSESSMENT – HI K & METAL PRECURSORS             23
1.11.1 ANALYST ASSESSMENT - HI K & METAL PRECURSORS, CONTINUED        24
1.11.2 ANALYST ASSESSMENT                        25

2  Scope, Purpose, and Methodology  26
2.1 SCOPE                                 27
2.2 PURPOSE                                 28
2.3 METHODOLOGY                             29
2.4 OVERVIEW OF OTHER TECHCET CMR™ REPORTS                 30

3  Semiconductor Industry Market Status & Outlook  31
3.1 WORLDWIDE ECONOMY                             32
3.1.1  SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY        34
3.1.2 SEMICONDUCTOR SALES GROWTH                    35
3.1.3 TAIWAN MONTHLY SALES TRENDS                    36
3.1.4 UNCERTAINTY ABOUNDS ESPECIALLY FOR 2023 – SLOWER TO
NEGATIVE SEMICONDUCTOR  REVENUE GROWTH EXPECTED                37
3.2 CHIPS SALES BY ELECTRONIC GOODS SEGMENT                 38
3.2.1 SMARTPHONES                             39
3.2.2 PC UNIT SHIPMENTS                         40
3.2.3  SERVERS / IT MARKET                        43
3.3 SEMICONDUCTOR FABRICATION GROWTH & EXPANSION            44
3.3.1 FAB EXPANSION ANNOUNCEMENT SUMMARY                45
3.3.2 WW FAB EXPANSION DRIVING GROWTH                     47
3.3.3 EQUIPMENT SPENDING TRENDS                        48
3.3.4 TECHNOLOGY ROADMAPS                        49
3.3.5 FAB INVESTMENT ASSESSMENT                        50
3.4 POLICY & TRADE TRENDS AND IMPACT                     51
3.5 SEMICONDUCTOR MATERIALS OVERVIEW                     52
3.5.1 COULD MATERIALS CAPACITY LIMIT CHIP PRODUCTION SCHEDULES?     53
3.5.2 LOGISTICS ISSUES EASED DOWN                     54
3.5.3 TECHCET WAFER STARTS FORECAST THROUGH 2027            55
3.5.4 TECHCET’S MATERIAL FORECAST                    56

4  Precursor Market Trends  57
4.1 MARKET TRENDS                             58
4.1.1 MARKET TRENDS – WAFER STARTS                    60
4.1.2 MARKET TRENDS – WAFER STARTS LOGIC                61
4.1.3 MARKET TRENDS – WAFER STARTS DRAM                    62
4.1.4 MARKET TRENDS – WAFER STARTS NAND                    63
4.2 SUPPLY CAPACITY AND DEMAND, INVESTMENTS                 64
4.2.1 WF6 DEMAND DRIVERS                        66
4.2.2 WF6 MARKET DEMAND                            67
4.2.3 WF6 MARKET DEMAND, CONTINUED                    68
4.2.4 WF6 MARKET DEMAND – MO ALD IP FILING                69
4.2.5 WF6 MARKET DEMAND                            70
4.3 SUPPLY CAPACITY AND DEMAND, INVESTMENTS                71
4.3.1 SUPPLY CAPACITY AND DEMAND, INVESTMENTS: HAFNIUM &
ZIRCONIUM                                72
4.4 REGIONAL TRENDS – METAL PRECURSORS                     73
4.4.1 REGIONAL TRENDS – METAL PRECURSORS                74
4.4.2 REGIONAL TRENDS – DIELECTRIC PRECURSORS                75
4.4.3 REGIONAL TRENDS – TOTAL PRECURSOR MARKET                 76
4.4.4 REGIONAL TRENDS AND DRIVERS                    77
4.5 CVD AND ALD EQUIPMENT MARKET                     79
4.5.1  WFE FORECAST: ALL TYPES                        80
4.5.2 WFE FORECAST: DEPOSITION, ETCH & CLEAN, LITHOGRAPHY,
METROLOGY ETC.                              81
4.6 TECHNICAL DRIVERS / MATERIAL CHANGES AND TRANSITIONS BY
DEVICE TYPE                                 82
4.6.1 GENERAL TREND LAST DECADE GOING FROM PVD & LPCVD TO
PECVD & ALD                                83
4.6.2 ADVANCED LOGIC NODE HVM ESTIMATE                    84
4.6.3 DRAM NODE HVM ESTIMATE                        90
4.6.4 3D NAND NODE HVM ESTIMATE                        93
4.6.5 SUMMARY OF OPPORTUNITIES BY DEVICE SEGMENT            98
4.7 SEMICONDUCTOR PROCESS & MATERIALS TRENDS                 100
4.7.1  ETCH PROCESS BY DEVICE TYPE—ATOMIC LAYER ETCHING ALE         101
4.7.2 AREA SELECTIVE DEPOSITION                        111
4.7.3 DIRECTED SELF ASSEMBLY (DSA) AND EUV                112
4.7.4 DIRECT SELF ASSEMBLY (DSA) AND EUV                113
4.7.5  2D TRANSITION METAL DICHALCOGENIDES (TMD)            114
4.7.6 DRY RESIST FOR EUV                        115
4.7.7 UNDERLAYERS FOR EUV RESIST                    119
4.7.8 OTHER APPLICATIONS – CHAMBER COATINGS BY ALD (Y2O3)        121
4.7.9 OTHER APPLICATIONS – OPTICS                    122
4.8 EHS AND LOGISTIC ISSUES – ZIRCONIUM AND HAFNIUM             123
4.8.1 EHS AND LOGISTIC ISSUES – ZIRCONIUM AND HAFNIUM            124
4.8.2 EHS AND LOGISTIC ISSUES – TITANIUM                125
4.8.3 EHS AND LOGISTIC ISSUES – TUNGSTEN                 126
4.8.4 EHS AND LOGISTIC ISSUES – TUNGSTEN                 127
4.8.5 EHS AND LOGISTIC ISSUES – COBALT                     128
4.8.6 EHS AND LOGISTIC ISSUES – RUTHENIUM                 129
4.8.7 GREEN HOUSE GASES FROM SEMICONDUCTOR PRODUCTION            130
4.8.8 EUV AND ENERGY                            133
4.8.9 ASSESSING THE ENVIRONMENTAL IMPACT OF ATOMIC LAYER
DEPOSITION (ALD) PROCESSES AND PATHWAYS TO LOWER IT            134
4.9 CHANGES IN STANDARD PACKAGING/VALVE TYPES                 135
4.10 MARKET ASSESSMENT                             136    

5  Segment Market Statistics & Forecasts  137
5.1 PRECURSOR MARKET – HISTORICAL AND 5-YEAR FORECAST              138
5.1.1 CVD/ALD METAL & HIGH-K PRECURSOR REVENUE 2021 TO 2027        139
5.1.2 SUPPLY-DEMAND FORECAST – WF6 (UNTIL 2023)                 140
5.1.3 ASSESSMENT- METAL & HIGH-K                     141
5.2 DIELECTRIC PRECURSOR REVENUE 2021 TO 2027 (M USD)             142
5.2.1 ASSESSMENT DIELECTRIC PRECURSORS                     143
5.3 M&A ACTIVITIES                               145
5.3.1 M&A ACTIVITIES – MERCK & MECARO                     146
5.4 NEW PLANTS                                    147
5.4.1 NEW PLANTS                              148
5.4.2 NEW PLANTS                               149
5.4.3 NEW PLANTS                              150
5.4.4 NEW PLANTS                              151
5.5 SUPPLIER PLANT CLOSURES – NONE REPORTED                  152
5.6 NEW ENTRANTS – DRY RESIST CONSORTIUM                  153
5.7 PRICING TRENDS                               154
5.7.1 PRICING TRENDS – HAFNIUM                          155

6  Sub Tier Material Supply Chain  157
6.1 SUB-TIER SUPPLY-CHAIN: INTRODUCTION                 158
6.1.1 SUB-TIER SUPPLY-CHAIN: DISRUPTIONS & CHINA            159
6.2 LOGISTICS                                 161
6.2.1 LOGISTICS, CONTINUED                        162
6.3 SUB-TIER SUPPLY-CHAIN “NEW” ENTRANTS -  NONE REPORTED         163
6.4 SUB-TIER SUPPLY-CHAIN PLANTS UPDATES-NEW – NONE REPORTED        164
6.5 SUB-TIER SUPPLY-CHAIN TECHCET ANALYST ASSESSMENT             165

7  Supplier profiles  166
ADEKA CORPORATION
AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
AZMAX CO., LTD
CITY CHEMICAL LLC
DNF CO., LTD
…and 20+. more

ページTOPに戻る



List of Tables/Graphs

LIST OF FIGURES

FIGURE 1: METAL PRECURSORS MARKET SHARES 2022                13
FIGURE 2: HARDMASK, LOW K DIELECTRIC PRECURSORS REGIONAL SHARES 2022    14
FIGURE 3: TOTAL PRECURSOR MARKETS REGIONAL 2022 (M USD)            15
FIGURE 4: TOTAL PRECURSOR MARKET, M USD                    16
FIGURE 5: CVD/ALD METAL & HIGH-K PRECURSORS 2021 TO 2027        17
FIGURE 6: WF6 SUPPLY VS. DEMAND THROUGH 2027                19
FIGURE 7: DIELECTRIC PRECURSOR MARKET 2021 TO 2027            20
FIGURE 8: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION    21
FIGURE 9: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN (2022)    34
FIGURE 10: WORLDWIDE SEMICONDUCTOR SALES                 35
FIGURE 11: TECHCET’S TAIWAN SEMICONDUCTOR INDUSTRY INDEX (TTSI)*    36
FIGURE 12: 2023 SEMICONDUCTOR INDUSTRY REVENUE GROWTH FORECASTS    37
FIGURE 13: 2022 SEMICONDUCTOR CHIP APPLICATIONS                38
FIGURE 14: MOBILE PHONE SHIPMENTS WW ESTIMATES                 39
FIGURE 15: WORLDWIDE PC AND TABLET FORECAST                40
FIGURE 16: ELECTRIFICATION TREND BY WORLD REGION             41
FIGURE 17: SEMICONDUCTOR AUTOMOTIVE PRODUCTION                42
FIGURE 18: TSMC PHOENIX INVESTMENT ESTIMATED WILL BE US $40 B        44
FIGURE 19: CHIP EXPANSIONS 2022-2027 US$366 B                45
FIGURE 20: SEMICONDUCTOR CHIP MANUFACTURING REGIONS OF THE WORLD    47
FIGURE 21: GLOBAL TOTAL EQUIPMENT SPENDING BY SEGMENT (US$ B)        48
FIGURE 22: OVERVIEW OF ADVANCED LOGIC DEVICE TECHNOLOGY ROADMAP    49
FIGURE 23: INTEL OHIO PLANT SITE FEB. 2023 AND ARTIST RENDERING (ON BOTTOM)                            50
FIGURE 24: EUROPE CHIP EXPANSION UPSIDE                    53
FIGURE 25: PORT OF LA                            54
FIGURE 26: TECHCET WAFER START FORECAST BY NODE SEGMENTS**        55
FIGURE 27: GLOBAL SEMICONDUCTOR MATERIALS OUTLOOK            56
FIGURE 28: FORECASTS – WAFER STARTS 2021 TO 2027             60
FIGURE 29: FORECASTS – WAFER STARTS LOGIC 300 MM             61
FIGURE 30: FORECASTS – WAFER STARTS DRAM 300 MM                62
FIGURE 31: FORECASTS – WAFER STARTS NAND 300 MM                63
FIGURE 32: 3DNAND MARKET SHARE 2022                    66
FIGURE 33: 3DNAND STRUCTURE                        67
FIGURE 34: MO PRECURSORS                        68
FIGURE 35: PATENT FAMILIES FILED FOR MOLYBDENUM ALD IN THE MEMORY SPACE                                69
FIGURE 36: WAFER START FORECAST SHOWING TWO TIMING SCENARIOS WHERE MO COULD BE INTRODUCED (MILLIONS OF 200 MM EQUIVALENT/YEAR)    70
FIGURE 37: ZIRCONIUM METAL DEMAND 2021 1.6 MILLION TONNES        72
FIGURE 38: HAFNIUM METAL DEMAND 2021 78 TONNES                72
FIGURE 39: METAL PRECURSORS MARKET SHARES 2022                74
FIGURE 40: HARDMASK, LOW K DIELECTRIC PRECURSORS REGIONAL SHARES 2022    75
FIGURE 41: TOTAL PRECURSOR MARKETS REGIONAL 2022 (M USD)        76
FIGURE 42: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION    79
FIGURE 43: SEMI 2022 SEMICONDUCTOR EQUIPMENT FORECAST            80
FIGURE 44: 2022 TECHINSIGHTS WFE SPENDING (TOP) AND 2022
GARTNER WFE SPENDING PER NODE (BOTTOM)                    81
FIGURE 45: 3D DEVICE ARCHITECTURES                    83
FIGURE 46: LOGIC TECHNOLOGY NODE ROADMAP FOR LEADING IDMS        84
FIGURE 47: SAMSUNG START 3 NM PILOT RAMP USING GAA-FET     
TECHNOLOGY JUNE 2022                            85
FIGURE 48: IMEC 2022 LOGIC ROADMAP                    86
FIGURE 49: SCALING AND LITHOGRAPHY TRENDS – A HIGH COST IN CAPITAL EXPENDITURE, ENERGY AND EMISSIONS                87
FIGURE 50: APPLIED MATERIALS CENTURA PATTERN SHAPING CLUSTER        88
FIGURE 51: DRAM TECHNOLOGY ROADMAP FOR LEADING IDMS             90
FIGURE 52: IP FILING IN THE FIELD OF 3DRAM IS ACCELERATING        91
FIGURE 53: NAND TECHNOLOGY ROADMAP FOR LEADING IDMS             93
FIGURE 54: PATHWAYS FOR CONTINUED 3D NAND SCALING            94
FIGURE 55: 3DNAND STACK TRENDS FROM <100L TO 4 STACKS            95
FIGURE 56: MEMORY STACK CHALLENGES FOR V-NAND                96
FIGURE 57A: FINFET TO GAA TRANSISTOR DIAGRAMS SHOWING
SELECTIVE ETCHING IS NEEDED TO ADDRESS DEVICE COMPLEXITY        101
FIGURE 57B: ALE PROCESS CYCLE                        101
FIGURE 58: PERIOD TABLE INDICATING CANDIDATES FOR ALE (ISOTROPIC ETCHING)                            102
FIGURE 59: APPLICATION OF ALE (ISOTROPIC ETCH)                103
FIGURE 60: ALD AND ALE COMBO PROCESS                    104
FIGURE 61: PLASMA AND THERMAL ALE PROCESSES                105
FIGURE 62: LAM ALE PROCESS                        106
FIGURE 63: ALD / ALE PROCESS ROADMAP                    107
FIGURE 64: ALE PATENT ACTIVITY BY COMPANY THROUGH 2022            108
FIGURE 65: AREA SELECTIVE SIN DEPOSITION BY ALD (AVS ASD2022)         111
FIGURE 66: DSA AND EUS PROCESSES                      112
FIGURE 67: RESIST RECTIFICATION WITH DSA                 113
FIGURE 68: TEM AND ARTIST RENDERING OF MONOLAYER CHANNEL FORMATION     114
FIGURE 69: EUV LITHOGRAPHY ENABLING GATE STRUCTURES AND PITCH SCALING     115
FIGURE 70: DRY RESIST FOR EUV SEM IMAGE                 116
FIGURE 71: GREEN BENEFITS OF DY EUV RESIST                 117
FIGURE 72: SPIN ON CARBON (SOC) DIELECTRIC FOR EUV METAL OXIDE
RESISTS PATTERNS AFTER LITHO                        118
FIGURE 73: UNDERLAYER (DIELECTRIC) HARDMASKS TRENDS FOR NIGH NA EUV    119
FIGURE 74: SPIN ON PRIMER (SOC) VS. HMDS PRIMER              120
FIGURE 75: Y2O3 ALD VS. SPRAY COATINGS                     121
FIGURE 76: GREENHOUSE GAS CONTRIBUTIONS OF CHIP FAB MATERIALS AND EQUIPMENT                                 130
FIGURE 77: ENVIRONMENTAL IMPACT (GWP) OF VARIOUS PROCESSES AND GASES                                131
FIGURE 78: CO2EQ OUTPUT FROM ETCH GASES                    132
FIGURE 79: TOTAL EMISSIONS AND ENERGY USE PROJECTION PER LOGIC NODE    133
FIGURE 80: ENVIRONMENTAL IMPACT OF ALD                    134
FIGURE 81: SEGMENTATION OF THE AMPOULE FLEET 2020 BASED ON NUMBER OF UNITS IN THE FIELD                    135
FIGURE 82: TOTAL PRECURSOR MARKET, M USD                138
FIGURE 67: RESIST RECTIFICATION WITH DSA                 113
FIGURE 68: TEM AND ARTIST RENDERING OF MONOLAYER CHANNEL FORMATION                                 114
FIGURE 69: EUV LITHOGRAPHY ENABLING GATE STRUCTURES AND PITCH SCALING                                 115
FIGURE 70: DRY RESIST FOR EUV SEM IMAGE                 116
FIGURE 71: GREEN BENEFITS OF DY EUV RESIST                 117
FIGURE 72: SPIN ON CARBON (SOC) DIELECTRIC FOR EUV METAL OXIDE RESISTS PATTERNS AFTER LITHO                    118
FIGURE 73: UNDERLAYER (DIELECTRIC) HARDMASKS TRENDS FOR NIGH NA EUV    119
FIGURE 74: SPIN ON PRIMER (SOC) VS. HMDS PRIMER              120
FIGURE 75: Y2O3 ALD VS. SPRAY COATINGS                     121
FIGURE 76: GREENHOUSE GAS CONTRIBUTIONS OF CHIP FAB MATERIALS AND EQUIPMENT                                 130
FIGURE 77: ENVIRONMENTAL IMPACT (GWP) OF VARIOUS PROCESSES AND GASES                                131
FIGURE 78: CO2EQ OUTPUT FROM ETCH GASES                    132
FIGURE 79: TOTAL EMISSIONS AND ENERGY USE PROJECTION PER LOGIC NODE    133
FIGURE 80: ENVIRONMENTAL IMPACT OF ALD                    134
FIGURE 81: SEGMENTATION OF THE AMPOULE FLEET 2020 BASED ON NUMBER OF UNITS IN THE FIELD                        135
FIGURE 82: TOTAL PRECURSOR MARKET, M USD                138

LIST OF TABLES

TABLE 1: DIELECTRIC PRECURSOR REVENUES BY REGION (US$ M)           14
TABLE 2: TOTAL PRECURSOR MARKETS BY REGION (US$ M)            15
TABLE 3: 2017 TO 2027 5-YEAR CAGRS                      16
TABLE 4: GLOBAL GDP AND SEMICONDUCTOR REVENUES*                32
TABLE 5: IMF ECONOMIC OUTLOOK*                         33
TABLE 6: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES MARKET SPENDING 2022                                43
TABLE 7: PRECURSOR USAGE OF LEADING IDMS                 73
TABLE 8: DIELECTRIC PRECURSOR MARKET SIZE BY REGION             75
TABLE 9: TOTAL PRECURSOR MARKET SIZE BY REGION                 76
TABLE 10: REGIONAL PRECURSOR MARKETS                    77
TABLE 11: REGIONAL WAFER MARKETS, CONTINUED                78
TABLE 12: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR LOGIC DEVICES                        89
TABLE 13: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR DRAM                            92
TABLE 14: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR 3DNAND                            97
TABLE 15: GAS TRENDS AND OPPORTUNITIES BY DEVICE TYPE            98
TABLE 16: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL    99
TABLE 17: PRECURSOR 5-YEAR CAGR COMPARISON                138
TABLE 18: ZIRCONIUM MINING PRODUCTION AND RESERVES             156
TABLE 19: WORLDWIDE TUNGSTEN PRODUCTION AND RESERVES            159
TABLE 20: ZIRCONIUM MINING                        160

 

ページTOPに戻る

ご注文は、お電話またはWEBから承ります。お見積もりの作成もお気軽にご相談ください。

webからのご注文・お問合せはこちらのフォームから承ります

本レポートと同じKEY WORD(CVD)の最新刊レポート

  • 本レポートと同じKEY WORDの最新刊レポートはありません。

よくあるご質問


Techcet社はどのような調査会社ですか?


テクセット社は、長年の経験を持ち、半導体業界の中で十分かつ正確に市場や技術動向を分析することのできるエキスパート達によって運営されています。同社がこれまでコンサルタントを委託された企業は多く、材料メー... もっと見る


調査レポートの納品までの日数はどの程度ですか?


在庫のあるものは速納となりますが、平均的には 3-4日と見て下さい。
但し、一部の調査レポートでは、発注を受けた段階で内容更新をして納品をする場合もあります。
発注をする前のお問合せをお願いします。


注文の手続きはどのようになっていますか?


1)お客様からの御問い合わせをいただきます。
2)見積書やサンプルの提示をいたします。
3)お客様指定、もしくは弊社の発注書をメール添付にて発送してください。
4)データリソース社からレポート発行元の調査会社へ納品手配します。
5) 調査会社からお客様へ納品されます。最近は、pdfにてのメール納品が大半です。


お支払方法の方法はどのようになっていますか?


納品と同時にデータリソース社よりお客様へ請求書(必要に応じて納品書も)を発送いたします。
お客様よりデータリソース社へ(通常は円払い)の御振り込みをお願いします。
請求書は、納品日の日付で発行しますので、翌月最終営業日までの当社指定口座への振込みをお願いします。振込み手数料は御社負担にてお願いします。
お客様の御支払い条件が60日以上の場合は御相談ください。
尚、初めてのお取引先や個人の場合、前払いをお願いすることもあります。ご了承のほど、お願いします。


データリソース社はどのような会社ですか?


当社は、世界各国の主要調査会社・レポート出版社と提携し、世界各国の市場調査レポートや技術動向レポートなどを日本国内の企業・公官庁及び教育研究機関に提供しております。
世界各国の「市場・技術・法規制などの」実情を調査・収集される時には、データリソース社にご相談ください。
お客様の御要望にあったデータや情報を抽出する為のレポート紹介や調査のアドバイスも致します。



詳細検索

このレポートへのお問合せ

03-3582-2531

電話お問合せもお気軽に

 

2024/07/04 10:27

162.47 円

175.74 円

209.86 円

ページTOPに戻る