世界各国のリアルタイムなデータ・インテリジェンスで皆様をお手伝い

AIチップ 2023-2033年


AI Chips 2023-2033

世界のAIチップ市場は2033年までに2576億米ドルに成長し、その時の3大産業バーティカルはIT・テレコム、銀行・金融サービス・保険(BFSI)、コンシューマーエレクトロニクスです。2016年に囲碁の世界チャンピ... もっと見る

 

 

出版社 出版年月 電子版価格 ページ数 言語
IDTechEx
アイディーテックエックス
2023年5月2日 US$7,000
電子ファイル(1-5ユーザライセンス)
ライセンス・価格情報・注文方法はこちら
345 英語

日本語のページは自動翻訳を利用し作成しています。


 

サマリー

世界のAIチップ市場は2033年までに2576億米ドルに成長し、その時の3大産業バーティカルはIT・テレコム、銀行・金融サービス・保険(BFSI)、コンシューマーエレクトロニクスです。2016年に囲碁の世界チャンピオンであるイ・セドルに勝利したDeepMindから、OpenAIのChatGPTの強固な予測能力まで、人工知能の学習アルゴリズムの複雑さは驚くほど速いペースで成長しており、新しく開発された学習アルゴリズムを実行するのに必要な計算量はおよそ4ヶ月ごとに倍増していると思われます。この成長に対応するため、AIアプリケーション用のハードウェアには、新しいアルゴリズムが導入されても長く使えるスケーラビリティと、運用上のオーバーヘッドを低く抑えるだけでなく、複雑化するモデルをエンドユーザーに近いところで扱えることが求められています。モノのインターネットを実現するためには、クラウドとエッジの2つのアプローチでAIを扱うことが必要です。
 
IDTechExは、専門アナリストによる熱心な調査期間を経て、世界のAIチップ技術の展望と対応する市場について独自の洞察を提供する報告書を発行しました。本レポートには、AIチップの設計に関わる19のプレーヤー、設計スタートアップ企業10社、世界の最も著名な半導体メーカーについての包括的な分析が含まれています。これには、技術革新と市場ダイナミクスの詳細な評価も含まれています。市場分析と予測は、総売上高(全部入り、多目的を除く、多目的とクラウドベースの提供を除く)に焦点を当て、地域別(欧州、APAC、北米)、処理タイプ(エッジ、クラウド)、チップアーキテクチャ(GPU、CPU、ASIC、FPGA)、パッケージタイプ(システムオンチップ、マルチチップモジュール、2. 5D+)、アプリケーション(言語、コンピュータビジョン、予測、その他)、産業別(産業、ヘルスケア、自動車、小売、メディア&広告、BFSI、家電、IT&テレコム、その他)などがあります。
 
また、本レポートでは、90nmから3nmまでのノードのチップの製造、設計、組立、テスト&パッケージング、運用のコストに関する厳密な計算を、AI用に収録しています。半導体メーカーが3nmを超えるより高度なノードに移行する際の設計コストと製造コスト(ウェーハあたりの投資額)についての予測も示されている。本レポートは、キープレイヤーへのインタビューを通じて収集した一次データの偏りのない分析を行い、半導体およびエレクトロニクス分野における当社の専門知識を基に作成されています。
 
本調査は、以下のような方に価値ある知見を提供します:
  • AI対応ハードウェアを必要とする企業。
  • AIチップおよび/またはAI対応組込みシステムを設計/製造している企業。
  • AI対応組込みシステムに使用されるコンポーネントを供給する企業。
  • AIおよび/または半導体の設計、製造、パッケージングに投資する企業。
  • 機械学習ワークロードのためのその他の技術を開発する企業。
 
 
インテリジェントハードウェアの台頭
特定の機能を果たすためにハードウェアを設計するという考え方、特にその機能が、メイン(ホスト)プロセッサから制御を奪って特定の種類の計算を高速化するものであれば、それは新しいものではありません; その目的は、複雑な浮動小数点演算をCPUからこの特殊用途のチップにオフロードすることで、FPUがより効率的に演算を処理できるため、CPUを他のことに集中させることができるのです。市場や技術の発展とともに、ワークロードも変化し、それに対応する新しいハードウェアが必要とされるようになった。特に、コンピュータグラフィックスの分野では、GPU(Graphics Processing Unit)と呼ばれるアクセラレーターが有名です。
 
コンピュータ・グラフィックスが異なるタイプのチップ・アーキテクチャを必要としたように、機械学習の出現は、機械学習ワークロードを効率的に処理することができる別のタイプのアクセラレータを要求するようになったのである。本レポートでは、CPU、GPU、FPGA(Field Programmable Gate Array)アーキテクチャの違いと、機械学習のワークロードを処理する際の相対的な有効性について詳しく説明します。特定用途向け集積回路(ASIC)は、特定のワークロードを処理するために効果的に設計することができ、本レポートでは、AI向けASICの世界的な大手設計者数社のアーキテクチャを分析している。MLワークロードを処理できるチップのニーズは、消費者にとってのメリット(家電製品の機能向上、セキュリティカメラにおけるより正確な画像分類や物体検出、自律走行車における低遅延・高精度の推論など)が実現されればされるほど高まる。このことは、2023年から2033年のAIチップ(MLワークロード処理に加えて他の用途に使用するもの、およびクラウドサービスを通じてアクセスできるチップも含む)の予測年平均成長率(CAGR)が24.4%に達したことにも反映している。
 
 
本報告書の3つの主要な予測それぞれについて、2023年から2033年までの年間複合成長率。出典 IDTechEx
 
AIが世界的なアジェンダに
自然言語処理(言語的な観点だけでなく、文脈的な観点からもテキストデータを理解する)、音声認識(話し言葉を解読し、同じ言語のテキストに変換したり、別の言語に変換したりできる)、推薦(サービス項目との相互作用に基づいて、消費者にパーソナライズした広告や提案を送信できる)、強化学習(観察/探索に基づいて予測を立てることができる、 ある製品(自律走行車や産業用ロボットなど)の有効性や国家統治モデルにとって非常に重要であるため、AIのハードウェアとソフトウェアの開発は、世界中の国や地域が資金を提供する動機となっています。AI対応のプロセッサやアクセラレータは半導体メーカーに依存しており、データセンターで使用されるチップに必要な最先端のノードを製造できるメーカーはアジア太平洋地域(特に台湾と韓国)にあるため、AIチップの製造能力は一部の企業からの供給可能性に依存しています(エッジデバイスについては、これらのチップが通常低電力推論に使われるため、最先端のノード技術の採用はそれほど必要ではありません。しかし、グローバルなサプライチェーンが特定の地域に大きく依存していることに変わりはない)。
 
2020年、COVID-19の流行、データマイニングの台頭、台湾の干ばつ、工場火災の発生、ネオンの調達難など、多くの補完的要因によって、半導体チップの需要が供給を上回る世界的なチップ不足が発生し、特定地域に集中する企業の製造能力に依存するリスクが顕在化した。それ以来、半導体バリューチェーンの最大の利害関係者(米国、EU、韓国、台湾、日本、中国)は、チップ不足がさらに悪化するような状況が再び発生した場合に備えて、製造不足に陥るリスクを軽減しようと努めてきました。国や地方自治体は、半導体製造企業の事業拡大や新施設の建設を奨励するための施策を実施しています。本レポートでは、こうした政府の取り組みについて、資金調達の内訳やその理由、他のステークホルダーにとっての意味(米国が中国に課している制限や、中国がこうした制限を回避して国家規模の半導体サプライチェーンを構築する方法など)を詳しく解説しています。さらに、2021年以降に半導体製造のために発表された民間投資の概要と、現在の企業の半導体製造能力、特にAIとの関連について説明されている。
 
2021年以降のメーカーによる半導体設備への投資予定額と確定額を示しています。米ドル以外の通貨が記載されている場合は、発行日現在で米ドルに換算しています。出典:IDTechEx IDTechEx
 
進歩のコスト
機械学習とは、コンピュータプログラムがデータを利用してモデルに基づいて予測を行い、使用する重み付けを調整することで、提供されたデータにより適合するようにモデルを最適化するプロセスである。そのため、計算には2つのステップが必要です: 学習」と「推論」です。AIアルゴリズムを実装する最初の段階は学習段階であり、データをモデルに供給し、モデルは供給されたデータに適切に適合するまで重みを調整する。第二段階は推論段階であり、学習されたAIアルゴリズムを実行し、(学習段階で提供されなかった)新しいデータを、取得したデータと一致するように分類することである。この2つのステージのうち、トレーニングステージは、同じ計算を何百万回も行うため、計算量が多くなります(一部の主要なAIアルゴリズムのトレーニングは、完了するまでに数日かかることもあります)。そこで、「AIアルゴリズムの学習にはどれくらいのコストがかかるのか?
 
これを定量化するために、IDTechExは90nmから3nmまでのAIチップの設計、製造、組み立て、テスト&パッケージング、運用コストを厳密に計算した。あるトランジスタ密度を持つ3nmチップは、同じトランジスタ密度を持つより成熟したノードチップよりも面積が小さいと考えることで、あるAIアルゴリズムの最先端チップを展開するコストを、同じアルゴリズムで同様の性能を持つ後発チップと比較することができます。例えば、ある面積とトランジスタ密度を持つ3nmチップを5年間連続使用した場合、当社が採用する3nmチップのモデルに基づくと、同じトランジスタ密度を持つ90nmチップを5年間連続使用した場合に発生するコストの45.4倍となる。これは、それぞれのチップの初期製造コストを含み、さらに、チップの使用期間に応じて、より成熟したノードチップからより高度なノードチップにアップグレードする価値があるかどうかを判断するために使用することができます。
 
AI用に使用される3nmのチップをモデルに、所定の各ノードで5年間にわたりチップを製造・運用するために必要なコストを算出。出典 IDTechEx
 
市場動向とロードマップ
IDTechExの世界AIチップ市場のモデルは、アーキテクチャーの動向、パッケージングの発展、資金や投資の分散/集中、過去の財務データ、地理的にローカライズされたエコシステムを考慮し、今後10年間の進化する市場価値を正確に表現しています。


ページTOPに戻る


目次

1. エグゼクティブサマリー
1.1. AIチップとは?
1.2. AIアクセラレーション
1.3. AIチップの機能
1.4. AIチップの応用
1.5. エッジAI
1.6. エッジAIのメリット・デメリット
1.7. AIチップの状況-概要
1.8. AIチップの展望-ハードウェアの主要プレーヤー
1.9. AIチップを取り巻く状況-ハードウェアのスタートアップ
1.10. AIチップを取り巻く状況-ハードウェア以外
1.11. AIランドスケープ - 地理的な分割:中国
1.12. AIランドスケープ - 地理的な分割:アメリカ
1.13. AIランドスケープ - 地理的な分割:レスト・オブ・ワールド
1.14. TSMC-AIチップの第一人者
1.15. 半導体ファウンドリーノードロードマップ
1.16. アドバンストノードのロードマップ
1.17. 従来のサプライチェーン
1.18. IDMファブリケーション機能
1.19. ファウンドリ機能
1.20. 資金調達の提案・確定マップ
1.21. 政府からの資金提供の提案
1.22. チップのトランジスタ密度
1.23. TSMC トランジスタの集積度
1.24. チップ設計コスト
1.25. チップコストの概要
1.26. 分析:生産コストと営業コストの比較
1.27. 分析:ノードの費用対効果
1.28. 分析:新しいリーディングノードチップを作るためのコスト
1.29. 将来のチップ設計コスト
1.30. ウェーハ1枚あたりの将来の設備投資額
1.31. 最先端ノードへの設備投資
1.32. AIチップの市場予測を一挙公開
1.33. AIチップ(マルチパーパス除く)市場予測
1.34. エッジとクラウドコンピューティングの比較
1.35. 成長率・分析
2. フォーキャスト
2.1. 最先端のノードの設計、製造、ATP、運用コストについて
2.1.1. 概要
2.1.2. デザインコスト
2.1.3. 運用コスト
2.1.4. 製造コスト
2.1.5. 組立、テスト、パッケージング費用
2.1.6. 比較・分析
2.2. 市場予測
2.2.1. AIチップの予測 2023年~2033年
2.2.2. 分散型予測
3. ai ハードウェア - 技術概要
3.1. AIチップの紹介
3.1.1. AIチップとは?
3.1.2. AIアクセラレーション
3.1.3. WhyAIアクセラレーション is needed
3.1.4. ハードとソフトの相互作用
3.1.5. AIチップの機能
3.1.6. AIチップの応用
3.1.7. ロボティクスにおけるAI
3.1.8. 自動車におけるAI
3.1.9. エッジAI
3.1.10. エッジAIのメリット・デメリット
3.1.11. AIチップの状況-概要
3.1.12. AIチップの展望-ハードウェアの主要プレーヤー
3.1.13. AIチップを取り巻く状況-ハードウェアのスタートアップ
3.1.14. AIチップを取り巻く状況-ハードウェア以外
3.1.15. AIランドスケープ - 地理的な分割:中国
3.1.16. AIランドスケープ - 地理的な分割:アメリカ
3.1.17. AIランドスケープ - 地理的な分割:レスト・オブ・ワールド
3.1.18. TSMC-AIチップの第一人者
3.1.19. 集積回路を解説
3.1.20. 専用チップの必要性
3.1.21. AIチップの基礎知識
3.1.22. AIチップの種類
3.1.23. ディープニューラルネットワーク
3.1.24. 訓練と推論
3.1.25. AIチップの機能
3.1.26. パラレルコンピューティング
3.1.27. 低精度コンピューティング
3.1.28. 主要プレイヤー
3.1.29. 新興技術:ニューロモルフィックフォトニックアーキテクチャ
3.1.30. ニューラルネットワークの構成要素
3.1.31. フォトニックプロセッシングシステム
3.2. 数表示
3.2.1. 固定小数点表示
3.2.2. 浮動小数点表示 - 例
3.2.3. 浮動小数点数表示 - 範囲
3.2.4. 浮動小数点演算表現 - 丸め込み
3.2.5. IEEE規格の
3.2.6. 非正規化数
3.2.7. 量子化
3.3. トランジスター技術
3.3.1. トランジスタの動作原理:p-n接合
3.3.2. トランジスタの動作の仕組み:電子殻
3.3.3. トランジスタの動作の仕組み:価電子
3.3.4. トランジスタのしくみ:p-n接合に戻る
3.3.5. トランジスタのしくみ:電池をつなぐ
3.3.6. トランジスタの仕組みPNP動作
3.3.7. トランジスタのしくみPNP
3.3.8. トランジスタのスイッチの仕組み
3.3.9. p-n接合からFETまで
3.3.10. FETのしくみ
3.3.11. ムーアの法則
3.3.12. ゲート長短縮
3.3.13. フィンエフイーティー
3.3.14. GAAFET、MBCFET、RibbonFET
3.3.15. プロセスノード
3.3.16. デバイスアーキテクチャロードマップ
3.3.17. トランジスタ・デバイス・アーキテクチャの変遷
3.3.18. トランジスタ用カーボンナノチューブ
3.3.19. CNTFETの設計
3.3.20. 半導体ファウンドリーノードロードマップ
3.3.21. アドバンストノードのロードマップ
3.4. GPUアーキテクチャ
3.4.1. コアカウント
3.4.2. メモリ
3.4.3. スレッド
3.4.4. NvidiaとAMD - パフォーマンス
3.4.5. NvidiaとAMD - 採用
3.4.6. テンソル数学
3.4.7. カラーテンショナー
3.5. AIパフォーマンスベンチマーク
3.5.1. MLPerfとMLCommons
3.5.2. MLPerf - トレーニングの概要
3.5.3. MLPerf - トレーニングベンチマーク
3.5.4. MLPerf - トレーニングHPC
3.5.5. MLPerf - 推論
4. AIチップファブリケーション - プレーヤーの能力と投資
4.1. サプライチェーンとプレイヤーの能力
4.1.1. 半導体サプライチェーンプレーヤー
4.1.2. 従来のサプライチェーン
4.1.3. IDMファブリケーション機能
4.1.4. ファウンドリ機能
4.2. 最近発表された選手の投資やニュース
4.2.1. インテル、"オングストローム時代 "へ:ロードマップ
4.2.2. インテル:2022 investments in European fab capabilities
4.2.3. インテル:2022 investments in US fab capabilities
4.2.4. Samsung:2022 investments in US fab capabilities
4.2.5. TSMC:2022 investments in fab capabilities
4.2.6. グローバルファウンドリーズのファブリケーション投資
4.2.7. テキサス・インスツルメンツファブリケーション投資
4.2.8. UMC: ファブリケーション投資
4.2.9. SMICと華虹半導体のファブリケーション投資
4.2.10. Rapidus: Japan's quest for2 nm
4.2.11. 資金調達の提案・確定マップ
4.2.12. Investments in semiconductor manufacturing proposed since2021
4.2.13. 政府からの資金提供の提案
4.3. The US CHIPS and Science Act of2022
4.3.1. 米国CHIPS法の紹介
4.3.2. ディビジョンAの構成要素
4.3.3. ディビジョンBの構成要素
4.3.4. 資金調達の概要
4.3.5. ディビジョンAのファンディングタイムライン
4.3.6. CHIPS for America Fund
4.3.7. CHIPSプログラム - 申請の優先順位
4.3.8. CHIPSプログラムの9つの行動領域
4.3.9. 動機と背景
4.3.10. 米中貿易戦争
4.3.11. AIの戦場
4.3.12. 大きなビジネスです:TSMC
4.3.13. IDMには良いが、ファブレスにはあまり良くない
4.3.14. 発表した投資案件の概要
4.4. The European Chips Act of2022
4.4.1. モチベーションと目標
4.4.2. 欧州チップス法の8つの規定
4.4.3. 目標達成までのタイムスケール
4.4.4. 資金調達の3本柱
4.4.5. 資金調達の配分
4.4.6. 資金調達の概要
4.4.7. 資金調達の分析
4.4.8. Pillar1: The Chips for Europe Initiative
4.4.9. Pillar2: Ensuring security of supply
4.4.10. EUの半導体の弱点に対応するために
4.4.11. ドイツへの投資計画
4.4.12. フランスへの投資計画
4.4.13. スペインへの投資計画
4.4.14. イタリアへの投資計画
4.4.15. 欧州の投資の概要
4.5. 中国の半導体投資
4.5.1. 米国の規制への対応
4.5.2. Made中国国内2025
4.5.3. のための資金が発生しました。 Made中国国内2025
4.5.4. 資金提供先
4.5.5. の結果 Made中国国内2025
4.5.6. New investments:2022 and beyond
4.5.7. 短期的な困難、長期的な機会
4.5.8. AIアクセラレーション中国国内
4.5.9. AI導入中国国内
4.5.10. 発表した投資案件の概要
4.6. 韓国半導体投資
4.6.1. 国家ハイテク産業発展戦略
4.6.2. 戦略を構成する6つの目的
4.6.3. 世界最大の半導体クラスターをつくる
4.6.4. 国内サプライチェーンの成長
4.6.5. K-Semiconductorの業界目標
5. サプライチェーンプレーヤー
5.1. エヌビディア
5.1.1. エヌビディアV100
5.1.2. エヌビディアA100
5.1.3. エヌビディアH100
5.1.4. MLPerfの結果 - 訓練(H100)
5.1.5. MLPerfの結果 - トレーニングHPC
5.1.6. MLPerfの結果 - 推論:データセンター
5.1.7. グレースホッパースーパーチップ
5.1.8. グレース・ホッパー建築
5.2. インテル
5.2.1. インテルAIハードウェアのポートフォリオ
5.2.2. ハバナ・ガウディ
5.2.3. ハバナ・ガウディ2
5.2.4. ハバナグレコ
5.2.5. Xeon Scalable Processorアーキテクチャ
5.2.6. 第4世代Xeonスケーラブル・プロセッサー性能
5.3. アドバンスト・マイクロ・デバイス(AMD) ザイリンクス
5.3.1. AMD Radeon Instinct
5.3.2. AMD Ryzen 7040
5.3.3. アルヴェオV70
5.3.4. AMD ザイリンクス ACAP
5.3.5. バーサルAI
5.4. グーグル
5.4.1. グーグルティーピーユー
5.4.2. Cloudティーピーユー and Edgeティーピーユー
5.4.3. ピクセルニューラルコアとピクセルテンソル
5.5. クアルコム
5.5.1. クアルコム -概要
5.5.2. Cloud AI100
5.5.3. クアルコムAIコア
5.5.4. クアルコムAIパフォーマンス結果
5.5.5. MLPerf results - Inference: Datacenter
5.5.6. MLPerf results - Inference: Edge
5.5.7. MLPerf results - Inference: Mobile and Tiny
5.5.8. モバイルAI
5.6. アイビーエム
5.6.1. アイビーエムテルムプロセッサー
5.6.2. アイビーエム Artificialインテルligence Unit
5.7. アマゾン ウェブ サービス(AWS)
5.7.1. AWS Inferentia
5.7.2. InferentiaおよびInferentia2アーキテクチャ
5.7.3. ニューロンコア
5.7.4. AWSトレーニアム
5.8. NXPセミコンダクターズ
5.8.1. NXPセミコンダクターズ:はじめに
5.8.2. エムシーエックスエヌ
5.8.3. i.MX 95とNPU
5.9. ファーウェイ
5.9.1. ファーウェイAscendとKirinのチップセット
5.9.2. ダヴィンチ建築
5.10. テスラ
5.10.1. テスラD1チップ
5.10.2. テスラエフエスディー
5.11. アップル
5.11.1. アップルニューラルエンジン
5.11.2. ANE'の能力と欠点
5.12. キャンブリコン
5.12.1. Siyuanシリーズ
5.13. ナショナルチップ
5.13.1. GXシリーズ
5.13.2. GX8002とgxNPU
5.14. アンバレラ
5.14.1. 車載用CV3-AD685
5.14.2. CVflowアーキテクチャ
5.15. メディアテック
5.15.1. メディアテックディメンジョンとAPU
5.16. エフィニックス
5.16.1. エフィニックス量子アーキテクチャ
5.16.2. TitaniumおよびTrion FPGA
5.17. グラフコア
5.17.1. アイピーユー
5.17.2. Bowアイピーユー and Pods
5.17.3. ベンチマークの結果
5.18. テンセント
5.18.1. ジーシャオ
5.19. バイドゥ
5.19.1. 崑崙とXPU
5.20. スタートアップ企業と新規参入企業
5.20.1. ライトマター
5.20.2. ライトインテリジェンス
5.20.3. 知覚する
5.20.4. エンフレーム
5.20.5. サンバノヴァ
5.20.6. ブレーン
5.20.7. グロッキー
5.20.8. 神話的
5.20.9. ハイロ
5.20.10. ブレーズ

 

 

ページTOPに戻る


 

Summary

この調査レポートでは、AIチップの設計に関わる19のプレーヤー、設計スタートアップ企業10社、世界の最も著名な半導体メーカーについて詳細に調査・分析しています。
 
主な掲載内容(目次より抜粋)
  • AIハードウェア - 技術概要
  • AIチップファブリケーション - プレイヤーの能力と投資
  • サプライチェーンプレーヤー
 
Report Summary
The global AI chips market will grow to US$257.6 billion by 2033, with the three largest industry verticals at that time being IT & Telecoms, Banking, Financial Services and Insurance (BFSI), and Consumer Electronics. Artificial Intelligence is transforming the world as we know it; from the success of DeepMind over Go world champion Lee Sedol in 2016, to the robust predictive abilities of OpenAI's ChatGPT, the complexity of AI training algorithms is growing at a startlingly fast pace, where the amount of compute necessary to run newly-developed training algorithms appears to be doubling roughly every four months. In order to keep pace with this growth, hardware for AI applications is needed that is not just scalable - allowing for longevity as new algorithms are introduced, while keeping operational overheads low - but is also able to handle increasingly complex models at a point close to the end-user. A two-pronged approach, to handle AI in the cloud and at the edge, is required to fully realize an effective Internet of Things.
 
Following a period of dedicated research by expert analysts, IDTechEx has published a report that offers unique insights into the global AI chip technology landscape and corresponding markets. The report contains a comprehensive analysis of 19 players involved with AI chip design, as well as an account of 10 design start-up companies, and the most prominent semiconductor manufacturers globally. This includes a detailed assessment of technology innovations and market dynamics. The market analysis and forecasts focus on total revenue (all-inclusive, excluding multi-purpose, and excluding multi-purpose and cloud-based offerings), with granular forecasts that are disaggregated by geography (Europe, APAC, and North America), processing type (edge and cloud), chip architecture (GPU, CPU, ASIC and FPGA), packaging type (System-on-Chip, Multi-Chip Module, and 2.5D+), application (language, computer vision, predictive, and other), and industry vertical (industrial, healthcare, automotive, retail, media & advertising, BFSI, consumer electronics, IT & telecoms, and other).
 
In addition, this report contains rigorous calculations pertaining to costs of manufacture, design, assembly, test & packaging, and operation for chips at nodes from 90 nm down to 3 nm, for AI purposes. Forecasts are presented on the design costs and manufacture costs (investment per wafer) as semiconductor manufacturers move to more advanced nodes beyond 3 nm. The report presents an unbiased analysis of primary data gathered via our interviews with key players, and it builds on our expertise in the semiconductor and electronics sectors.
 
This research delivers valuable insights for:
  • Companies that require AI-capable hardware.
  • Companies that design/manufacture AI chips and/or AI-capable embedded systems.
  • Companies that supply components used in AI-capable embedded systems.
  • Companies that invest in AI and/or semiconductor design, manufacture, and packaging.
  • Companies that develop other technologies for machine learning workloads.
 
 
The rise of intelligent hardware
The notion of designing hardware to fulfil a certain function, particularly if that function is to accelerate certain types of computations by taking control of them away from the main (host) processor is not a new one; the early days of computing saw CPUs (Central Processing Units) paired with mathematical coprocessors, known as Floating-Point Units (FPUs), the purpose of which was to offload complex floating point mathematical operations from the CPU to this special-purpose chip, as the latter could handle computations in a more efficient manner, thereby freeing the CPU up to focus on other things. As markets and technology developed, so too did workloads, and so new pieces of hardware were needed to handle these workloads. A particularly noteworthy example of one of these specialized workloads is the production of computer graphics, where the accelerator in question has become something of a household name: the Graphics Processing Unit (GPU).
 
Just as computer graphics required a different type of chip architecture, so the emergence of machine learning has brought about a demand for another type of accelerator, one that is capable of efficiently handling machine learning workloads. This report details the differences between CPU, GPU and Field Programmable Gate Array (FPGA) architectures, and their relative effectiveness with handling machine learning workloads. Application-specific Integrated Circuits (ASICs) can be effectively designed to handle specific workloads, with the architectures of several of the world's leading designers of ASICs for AI being analyzed in this report. The need for chips capable of handling ML workloads will only increase as the benefits for consumers (increased functionality in consumer electronics, more accurate image classification and object detection in security cameras, and low latency, high-precision inference in autonomous vehicles, for example) is realized, which is reflected in the forecast compound annual growth rate (CAGR) of 24.4% for AI chips (including those that are used for other purposes in addition to handling ML workloads, as well as chips accessible through a cloud service) between the years 2023 and 2033.
 
Compound Annual Growth Rates for each of the three main forecasts in this report, between the years 2023 and 2033. Source: IDTechEx
 
AI is on the global agenda
AI's capabilities in natural language processing (understanding of textual data, not just from a linguistic perspective but also a contextual one), speech recognition (being able to decipher a spoken language and convert it to text in the same language, or convert to another language), recommendation (being able to send personalized adverts/suggestions to consumers based on their interactions with service items), reinforcement learning (being able to make predictions based on observations/exploration, such as is used when training agents to play a game), object detection, and image classification (being able to distinguish objects from an environment, and decide on what that object is) are so significant to the efficacy of certain products (such as autonomous vehicles and industrial robots) and to models of national governance, that the development of AI hardware and software has motivated national and regional funding initiatives across the globe. As AI-capable processors and accelerators are dependent on semiconductor manufacturers, with those capable of producing the more advanced nodes necessary for chips employed within data centres located in the Asia-Pacific region (particularly Taiwan and South Korea), the ability to manufacture AI chips is dependent on the possible supply from a select few companies (for edge devices, it is not as necessary to employ leading-edge node technology, given that these chips are typically used for low-power inference. However, the fact remains that the global supply chain is heavily indebted to a specific geographic region).
 
The risk of relying on the manufacturing capabilities of companies concentrated in a specific geographic region was realized in 2020, when a number of complementing factors (such as the COVID-19 pandemic, the rise of data mining, a Taiwanese drought, fabrication facility fire outbreaks, and neon procurement difficulties) led to a global chip shortage, where demand for semiconductor chips exceeded supply. Since then, the largest stakeholders in the semiconductor value chain (the US, the EU, South Korea, Taiwan, Japan, and China) have sought to reduce their exposure to a manufacturing deficit, should another set of circumstances arise that results in an even more exacerbated chip shortage. National and regional government initiatives have been put in place to incentivize semiconductor manufacturing companies to expand operations or build new facilities. These government initiatives are discussed in the report, where the funding is broken down and the reasons for these initiatives and what they mean for other stakeholders (such as the restrictions imposed on China by the US, and how China can build a national semiconductor supply chain around these restrictions) is detailed. In addition, the private investments announced for semiconductor manufacture since 2021 are outlined, along with current company semiconductor manufacture capabilities, particularly in relation to AI.
 
Shown here are the proposed and confirmed investments into semiconductor facilities by manufacturers since 2021. Where currencies have been listed in anything but US$, these have been converted to US$ as of publication date. Source: IDTechEx
 
The cost of progress
Machine learning is the process by which computer programs utilize data to make predictions based on a model, and then optimize the model to better fit with the data provided, by adjusting the weightings used. Computation therefore involves two steps: Training, and Inference. The first stage of implementing an AI algorithm is the training stage, where data is fed into the model and the model adjust its weights until it fits appropriately with the provided data. The second stage is the inference stage, where the trained AI algorithm is executed, and new data (that was not provided in the training stage) is classified in a manner consist with the acquired data. Of the two stages, the training stage is more computationally intense, given that this stage involves performing the same computation millions of times (the training for some leading AI algorithms can take days to complete). This then poses the question: how much does it cost to train AI algorithms?
 
In an effort to quantify this, IDTechEx has rigorously calculated the design, manufacture, assembly, test & packaging, and operational costs of AI chips from 90 nm down to 3 nm. By considering that a 3 nm chip with a given transistor density will have a smaller area than a more mature node chip with the same transistor density, the cost of deploying a leading-edge chip for a given AI algorithm can be compared with a trailing-edge chip capable of a similar performance for the same algorithm. For example, should a 3 nm chip with a given area and transistor density be used continuously for five years, the cost incurred will be 45.4X less than the cost incurred by running a 90 nm chip with the same transistor density continuously for five years, based on the model of a 3 nm chip that we employ. This includes the initial production costs of the respective chips, and can then be used to determine whether it is worthwhile to upgrade from a more mature node chip to a more advanced node chip, depending on how long the chip is to be in service for.
 
The costs associated with producing and operating a chip at each of the given nodes over the course of 5 years, based on our model of a 3 nm chip used for AI purposes. Source: IDTechEx
 
Market developments and roadmaps
IDTechEx's model of the global AI chips market considers architectural trends, developments in packaging, the dispersion/concentration of funding and investments, historical financial data, and geographically-localized ecosystems to give an accurate representation of the evolving market value over the next ten years.


ページTOPに戻る


Table of Contents

1. EXECUTIVE SUMMARY
1.1. What is an AI chip?
1.2. AI acceleration
1.3. AI chip capabilities
1.4. AI chip applications
1.5. Edge AI
1.6. Advantages and disadvantages of edge AI
1.7. The AI chip landscape - overview
1.8. The AI chip landscape - key hardware players
1.9. The AI chip landscape - hardware start-ups
1.10. The AI chip landscape - other than hardware
1.11. AI landscape - geographic split: China
1.12. AI landscape - geographic split: USA
1.13. AI landscape - geographic split: Rest of World
1.14. TSMC - the foremost AI chip manufacturer
1.15. Semiconductor foundry node roadmap
1.16. Roadmap for advanced nodes
1.17. Traditional supply chain
1.18. IDM fabrication capabilities
1.19. Foundry capabilities
1.20. Map of proposed and confirmed funding
1.21. Proposed government funding
1.22. Chip transistor density
1.23. TSMC transistor densities
1.24. Chip design costs
1.25. Summary of chip costs
1.26. Analysis: production costs vs operating costs
1.27. Analysis: cost effectiveness of nodes
1.28. Analysis: cost to create new leading node chips
1.29. Future chip design costs
1.30. Future capital investment per wafer
1.31. Capital investment for leading-edge nodes
1.32. All-inclusive AI chip market forecast
1.33. AI chip (excluding multi-purpose) market forecast
1.34. Edge vs cloud computing
1.35. Growth rates and analysis
2. FORECASTS
2.1. Leading-edge node design, manufacturing, ATP, and operational costs
2.1.1. Overview
2.1.2. Design costs
2.1.3. Operational costs
2.1.4. Fabrication costs
2.1.5. Assembly, test and packaging costs
2.1.6. Comparison and analysis
2.2. Market forecasts
2.2.1. AI chip forecast 2023 - 2033
2.2.2. Disaggregated forecasts
3. AI HARDWARE - TECHNOLOGY OVERVIEW
3.1. Introduction to AI chips
3.1.1. What is an AI chip?
3.1.2. AI acceleration
3.1.3. Why AI acceleration is needed
3.1.4. The interaction between hardware and software
3.1.5. AI chip capabilities
3.1.6. AI chip applications
3.1.7. AI in robotics
3.1.8. AI in vehicles
3.1.9. Edge AI
3.1.10. Advantages and disadvantages of edge AI
3.1.11. The AI chip landscape - overview
3.1.12. The AI chip landscape - key hardware players
3.1.13. The AI chip landscape - hardware start-ups
3.1.14. The AI chip landscape - other than hardware
3.1.15. AI landscape - geographic split: China
3.1.16. AI landscape - geographic split: USA
3.1.17. AI landscape - geographic split: Rest of World
3.1.18. TSMC - the foremost AI chip manufacturer
3.1.19. Integrated circuits explained
3.1.20. The need for specialized chips
3.1.21. AI chip basics
3.1.22. AI chip types
3.1.23. Deep neural networks
3.1.24. Training and inference
3.1.25. AI chip capabilities
3.1.26. Parallel computing
3.1.27. Low-precision computing
3.1.28. Major players
3.1.29. Emerging technologies: neuromorphic photonic architectures
3.1.30. Components of a neural network
3.1.31. Photonic processing systems
3.2. Number representation
3.2.1. Fixed-point representation
3.2.2. Floating-point representation - example
3.2.3. Floating-point representation - range
3.2.4. Floating-point representation - rounding
3.2.5. The IEEE standards
3.2.6. Denormalized numbers
3.2.7. Quantization
3.3. Transistor Technology
3.3.1. How transistors operate: p-n junctions
3.3.2. How transistors operate: electron shells
3.3.3. How transistors operate: valence electrons
3.3.4. How transistors work: back to p-n junctions
3.3.5. How transistors work: connecting a battery
3.3.6. How transistors work: PNP operation
3.3.7. How transistors work: PNP
3.3.8. How transistors switch
3.3.9. From p-n junctions to FETs
3.3.10. How FETs work
3.3.11. Moore's law
3.3.12. Gate length reductions
3.3.13. FinFET
3.3.14. GAAFET, MBCFET, RibbonFET
3.3.15. Process nodes
3.3.16. Device architecture roadmap
3.3.17. Evolution of transistor device architectures
3.3.18. Carbon nanotubes for transistors
3.3.19. CNTFET designs
3.3.20. Semiconductor foundry node roadmap
3.3.21. Roadmap for advanced nodes
3.4. GPU architecture
3.4.1. Core count
3.4.2. Memory
3.4.3. Threads
3.4.4. Nvidia and AMD - performance
3.4.5. Nvidia and AMD - adoption
3.4.6. Tensor mathematics
3.4.7. Tensor cores
3.5. AI performance benchmarking
3.5.1. MLPerf and MLCommons
3.5.2. MLPerf - Training overview
3.5.3. MLPerf - Training benchmarks
3.5.4. MLPerf - Training HPC
3.5.5. MLPerf - Inference
4. AI CHIP FABRICATION - PLAYER CAPABILITIES AND INVESTMENTS
4.1. Supply chain and player capabilities
4.1.1. Semiconductor supply chain players
4.1.2. Traditional supply chain
4.1.3. IDM fabrication capabilities
4.1.4. Foundry capabilities
4.2. Recently announced player investments and news
4.2.1. Intel into the "angstrom era": Roadmap
4.2.2. Intel: 2022 investments in European fab capabilities
4.2.3. Intel: 2022 investments in US fab capabilities
4.2.4. Samsung: 2022 investments in US fab capabilities
4.2.5. TSMC: 2022 investments in fab capabilities
4.2.6. GlobalFoundries: Fabrication investments
4.2.7. Texas Instruments: Fabrication investments
4.2.8. UMC: Fabrication investments
4.2.9. SMIC and Hua Hong Semiconductor: Fabrication investments
4.2.10. Rapidus: Japan's quest for 2 nm
4.2.11. Map of proposed and confirmed funding
4.2.12. Investments in semiconductor manufacturing proposed since 2021
4.2.13. Proposed government funding
4.3. The US CHIPS and Science Act of 2022
4.3.1. Introduction to the US CHIPS Act
4.3.2. Components of Division A
4.3.3. Components of Division B
4.3.4. Funding at a glance
4.3.5. Funding timeline for Division A
4.3.6. CHIPS for America Fund
4.3.7. CHIPS Program - Application priorities
4.3.8. Nine areas of action for the CHIPS Program
4.3.9. Motivations and background
4.3.10. The US-China trade war
4.3.11. The AI battlefield
4.3.12. Big business: TSMC
4.3.13. Good for IDMs, not so much for Fabless
4.3.14. Summary of announced investments
4.4. The European Chips Act of 2022
4.4.1. Motivation and goals
4.4.2. The eight provisions of the European Chips Act
4.4.3. Timescale for achieving goals
4.4.4. The three pillars of financing
4.4.5. Funding allocations
4.4.6. Funding at a glance
4.4.7. Analysis of funding
4.4.8. Pillar 1: The Chips for Europe Initiative
4.4.9. Pillar 2: Ensuring security of supply
4.4.10. Addressing the EU's semiconductor weaknesses
4.4.11. Investment plans for Germany
4.4.12. Investment plans for France
4.4.13. Investment plans for Spain
4.4.14. Investment plans for Italy
4.4.15. Summary of European investments
4.5. Chinese semiconductor investments
4.5.1. A response to US restrictions
4.5.2. Made in China 2025
4.5.3. Funding generated for Made in China 2025
4.5.4. Funding recipients
4.5.5. Results of Made in China 2025
4.5.6. New investments: 2022 and beyond
4.5.7. Short-term difficulties, long-term opportunities
4.5.8. AI acceleration in China
4.5.9. AI adoption in China
4.5.10. Summary of announced investments
4.6. South Korea semiconductor investments
4.6.1. National High-tech Industry Development Strategy
4.6.2. Six objectives that comprise the strategy
4.6.3. Building the world's largest semiconductor cluster
4.6.4. Growing of the domestic supply chain
4.6.5. K-Semiconductor industry targets
5. SUPPLY CHAIN PLAYERS
5.1. Nvidia
5.1.1. Nvidia V100
5.1.2. Nvidia A100
5.1.3. Nvidia H100
5.1.4. MLPerf results - Training (H100)
5.1.5. MLPerf results - Training: HPC
5.1.6. MLPerf results - Inference: Data Center
5.1.7. Grace Hopper Superchip
5.1.8. Grace Hopper architecture
5.2. Intel
5.2.1. Intel's AI hardware portfolio
5.2.2. Habana Gaudi
5.2.3. Habana Gaudi2
5.2.4. Habana Greco
5.2.5. Xeon Scalable Processor architecture
5.2.6. 4th Gen Xeon Scalable Processor performance
5.3. Advanced Micro Devices (AMD) Xilinx
5.3.1. AMD Radeon Instinct
5.3.2. AMD Ryzen 7040
5.3.3. Alveo V70
5.3.4. AMD Xilinx ACAP
5.3.5. Versal AI
5.4. Google
5.4.1. Google TPU
5.4.2. Cloud TPU and Edge TPU
5.4.3. Pixel Neural Core and Pixel Tensor
5.5. Qualcomm
5.5.1. Qualcomm - Overview
5.5.2. Cloud AI 100
5.5.3. Qualcomm AI core
5.5.4. Qualcomm AI performance results
5.5.5. MLPerf results - Inference: Datacenter
5.5.6. MLPerf results - Inference: Edge
5.5.7. MLPerf results - Inference: Mobile and Tiny
5.5.8. Mobile AI
5.6. IBM
5.6.1. IBM Telum processor
5.6.2. IBM Artificial Intelligence Unit
5.7. Amazon Web Services (AWS)
5.7.1. AWS Inferentia
5.7.2. Inferentia and Inferentia2 architectures
5.7.3. NeuronCore
5.7.4. AWS Trainium
5.8. NXP Semiconductors
5.8.1. NXP Semiconductors: Introduction
5.8.2. MCX N
5.8.3. i.MX 95 and NPU
5.9. Huawei
5.9.1. Huawei Ascend and Kirin chipsets
5.9.2. Da Vinci architecture
5.10. Tesla
5.10.1. Tesla D1 chip
5.10.2. Tesla FSD
5.11. Apple
5.11.1. Apple's Neural Engine
5.11.2. The ANE's capabilities and shortcomings
5.12. Cambricon
5.12.1. Siyuan series
5.13. NationalChip
5.13.1. GX series
5.13.2. GX8002 and gxNPU
5.14. Ambarella
5.14.1. CV3-AD685 for automotive applications
5.14.2. CVflow architecture
5.15. MediaTek
5.15.1. MediaTek Dimensity and APU
5.16. Efinix
5.16.1. Efinix Quantum architecture
5.16.2. Titanium and Trion FPGAs
5.17. Graphcore
5.17.1. IPU
5.17.2. Bow IPU and Pods
5.17.3. Benchmarking results
5.18. Tencent
5.18.1. Zixiao
5.19. Baidu
5.19.1. Kunlun and XPU
5.20. Start-ups and New Players
5.20.1. Lightmatter
5.20.2. Lightelligence
5.20.3. Perceive
5.20.4. Enflame
5.20.5. SambaNova
5.20.6. Cerebras
5.20.7. Groq
5.20.8. Mythic
5.20.9. Hailo
5.20.10. Blaize

 

 

ページTOPに戻る

ご注文は、お電話またはWEBから承ります。お見積もりの作成もお気軽にご相談ください。

webからのご注文・お問合せはこちらのフォームから承ります

本レポートと同分野の最新刊レポート

  • 本レポートと同分野の最新刊レポートはありません。

本レポートと同じKEY WORD()の最新刊レポート

  • 本レポートと同じKEY WORDの最新刊レポートはありません。

よくあるご質問


IDTechEx社はどのような調査会社ですか?


IDTechExはセンサ技術や3D印刷、電気自動車などの先端技術・材料市場を対象に広範かつ詳細な調査を行っています。データリソースはIDTechExの調査レポートおよび委託調査(個別調査)を取り扱う日... もっと見る


調査レポートの納品までの日数はどの程度ですか?


在庫のあるものは速納となりますが、平均的には 3-4日と見て下さい。
但し、一部の調査レポートでは、発注を受けた段階で内容更新をして納品をする場合もあります。
発注をする前のお問合せをお願いします。


注文の手続きはどのようになっていますか?


1)お客様からの御問い合わせをいただきます。
2)見積書やサンプルの提示をいたします。
3)お客様指定、もしくは弊社の発注書をメール添付にて発送してください。
4)データリソース社からレポート発行元の調査会社へ納品手配します。
5) 調査会社からお客様へ納品されます。最近は、pdfにてのメール納品が大半です。


お支払方法の方法はどのようになっていますか?


納品と同時にデータリソース社よりお客様へ請求書(必要に応じて納品書も)を発送いたします。
お客様よりデータリソース社へ(通常は円払い)の御振り込みをお願いします。
請求書は、納品日の日付で発行しますので、翌月最終営業日までの当社指定口座への振込みをお願いします。振込み手数料は御社負担にてお願いします。
お客様の御支払い条件が60日以上の場合は御相談ください。
尚、初めてのお取引先や個人の場合、前払いをお願いすることもあります。ご了承のほど、お願いします。


データリソース社はどのような会社ですか?


当社は、世界各国の主要調査会社・レポート出版社と提携し、世界各国の市場調査レポートや技術動向レポートなどを日本国内の企業・公官庁及び教育研究機関に提供しております。
世界各国の「市場・技術・法規制などの」実情を調査・収集される時には、データリソース社にご相談ください。
お客様の御要望にあったデータや情報を抽出する為のレポート紹介や調査のアドバイスも致します。



詳細検索

このレポートへのお問合せ

03-3582-2531

電話お問合せもお気軽に

 

2024/07/01 10:26

162.23 円

174.76 円

207.97 円

ページTOPに戻る