世界各国のリアルタイムなデータ・インテリジェンスで皆様をお手伝い

コ・パッケージド・オプティクス(CPO)2025-2035年:技術、市場、予測


Co-Packaged Optics (CPO) 2025-2035: Technologies, Market, and Forecasts

コ・パッケージド・オプティクス(CPO)の台頭 過去10年間で、データセンター・イーサネット・スイッチの容量は0.64Tbpsから25.6Tbpsへと急増し、その原動力となったのは64倍速400Gbpsまたは32倍速800Gb... もっと見る

 

 

出版社 出版年月 電子版価格 ページ数 言語
IDTechEx
アイディーテックエックス
2024年7月10日 US$7,000
電子ファイル(1-5ユーザライセンス)
ライセンス・価格情報・注文方法はこちら
243 英語

※ 調査会社の事情により、予告なしに価格が変更になる場合がございます。
最新の価格はデータリソースまでご確認ください。

日本語のページは自動翻訳を利用し作成しています。


 

サマリー

コ・パッケージド・オプティクス(CPO)の台頭
過去10年間で、データセンター・イーサネット・スイッチの容量は0.64Tbpsから25.6Tbpsへと急増し、その原動力となったのは64倍速400Gbpsまたは32倍速800Gbpsのプラガブル光トランシーバー・モジュールの採用だった。しかし、これらの高速モジュールは、現在のフォームファクターでは、大きな課題を抱えています。その課題とは、電気および光コネクタの必要密度や消費電力の増大などである。
 
モジュールあたり800Gbps以上をサポートする次世代光エンジンを実現するには、通信速度をレーンあたり少なくとも100Gbpsに倍増させなければならない。この増加により、スイッチ・ソケット、マザーボード、エッジ・コネクタ全体でシグナル・インテグリティに大きな問題が生じ、SerDesインタフェースの電力損失が増大します。将来のイーサネットスイッチングでは、こうしたシグナルインテグリティの問題により、I/O の消費電力がスイッチコアの消費電力を上回る可能性があります。さらに、標準的なプラガブル・モジュールの集積密度は QSFP/OSFP フォーム・ファクターによって制限されるため、まだ広く普及していない高度な熱管理ソリューションが必要となります。
 
Co-Packaged Optics (CPO)は、このような課題に対する有望なソリューションです。従来のプラガブル・モデルとは異なり、CPO は光モジュールをスイッチ ASIC 基板に直接統合することで、電気的な到達距離を短縮し、シグナル・インテグリティの問題に効果的に対処します。このアプローチは、大手データセンターの間で支持を得ている。しかし、CPOのパッケージング戦略を最適化することは、依然として業界の継続的な議論と開発のテーマである。IDTechExの最新レポート「Co-Packaged Optics 2025-2035: 技術、市場、予測」では、CPO技術とその採用を可能にするパッケージング技術におけるこれらの進歩について調査している。
 
ハイエンドデータセンターにおける光トランシーバの主要トレンド。出典:IDTechEx IDTechEx
 
Co-Packaged Optics (CPO)における先進半導体パッケージング技術の重要性
データセンターにおけるCPOの統合は、I/O帯域幅の向上とエネルギー消費の削減を目指している。フォトニック集積回路(PIC)を電子集積回路(EIC)やスイッチICと組み合わせる方法は、パッケージング寄生素子と同様に、面およびエッジ帯域幅密度に大きな影響を与える可能性がある。これらの要因は、トランシーバーのI/O帯域幅とエネルギー効率に直接影響するため、不適切な統合はシリコンフォトニクスの利点を否定することになる。
 
CPOの場合、フォトニックコンポーネントとエレクトロニクスコンポーネントの統合は様々な方法で実現でき、それぞれに独自の利点と課題があります。最も先進的でまだ研究開発段階にあるのは、3Dモノリシック集積で、既存の電子プロセスノード内にフォトニックコンポーネントを最小限の変更で組み込み、アクティブフォトニクスと駆動エレクトロニクスを同じダイ内に同居させる。これにより寄生が減少し、インターフェースパッドやバンプが不要になるためパッケージングが簡素化される。しかし、モノリシック集積は一般的に古いCMOSノードを使用するため、フォトニクス性能は最適とは言えず、エネルギー消費も高くなります。このような制約はあるものの、インピーダンスの不整合は最小限に抑えられ、パッケージングも簡素化されます。
 
逆に、2D集積では、PICとEICをPCB上に並べて配置し、ワイヤーボンドやフリップチップで接続します。この方法は簡単でコスト効率も良いが、寄生インダクタンスが大きく、シングルエッジ接続のため集積I/Oが制限される。2D集積はパッケージングが容易である一方、ワイヤーボンドに依存するためトランシーバーの帯域幅が制限され、エネルギー消費量が増加するため、高性能アプリケーションでは効率が悪くなります。
 
3Dハイブリッド集積は、TSV(Through-Si-Via)、高密度ファンアウト、Cu-Cuハイブリッド・ボンディング、アクティブ・フォトニック・インターポーザーなど、さまざまな先進半導体パッケージング技術によってEICをPICの上に配置することで、寄生を大幅に低減し、より高度なソリューションを提供します。3次元集積における先進的な半導体パッケージング技術の使用は、高密度ピッチ機能を可能にし、性能を向上させる。しかし、EICから発生する熱がPICに影響を及ぼす可能性があるため、高度な熱管理ソリューションが必要となる。このような熱的課題にもかかわらず、3Dハイブリッド集積はパッケージング寄生を最小化するため、より高い性能を達成します。
 
2.5D集積は妥協の産物であり、EICとPICの両方をTSVによるパッシブ・インターポーザー上にフリップチップ化します。このアプローチは、3D 統合と同様に管理可能な寄生素子と高密度ピッチ機能を維持しますが、インターポーザーのトレースが必要になるため複雑さが増します。2.5D集積は、性能、コスト、製造ターンアラウンドのバランスが取れていますが、3Dハイブリッド集積よりも寄生が高くなります。
 
まとめると、各集積法は性能、複雑さ、コストのトレードオフを提示し、特定のアプリケーションの要件と制約に基づいた選択を導きます。
 
コ・パッケージド・オプティクス(CPO)市場の動向
IDTechExによると、CPO(Co-Packaged Optics)市場は2035年までに12億ドルを超え、2025年から2035年までのCAGRは28.9%と堅調に成長すると予測されている。CPOネットワークスイッチは、各スイッチが最大16個のCPO PICsを内蔵する可能性があることから、売上高を独占すると見られている。AIシステム用光インタコネクトは、市場の約20%を占めると見られており、各AIアクセラレータは、通常1個の光インタコネクトPICを利用して、先端コンピューティングアプリケーションにおける高速データ処理と通信の需要増に対応している。
 
2025年対2035年のCPO市場成長率。出典:IDTechEx IDTechEx
 
IDTechExの最新レポート「CPO(Co-Packaged Optics)2025-2035年」: 技術、市場、予測」では、コパッケージドオプティクス技術の最新の進歩を幅広く調査している。このレポートでは、主要な技術革新とパッケージング動向を深く掘り下げ、バリューチェーン全体を包括的に分析している。業界主要企業の活動を徹底的に評価し、詳細な市場予測を行い、CPOの採用が将来のデータセンターアーキテクチャの景観をどのように再構築するかを予測している。
 
本レポートの中心は、先端半導体パッケージがコ・パッケージド・オプティクス技術の礎石であるという認識である。IDTechExは、様々な半導体パッケージング技術がCPOの領域で果たす可能性のある役割を理解することに重点を置いている。
 
本レポートの主な内容は以下の通りである:
本レポートは、CPO(Co-Packaged Optics)の包括的な分析を提供し、様々な重要な側面を網羅している:
  • 市場ダイナミクス: 市場ダイナミクス:Nvidia、Broadcom、Cisco、Ranovus、Intelなどの主要プレーヤーと、CPOの状況を形成する勢力の調査。
  • CPO設計の革新: 先進的なCPO設計と、データセンターの効率向上や将来のアーキテクチャ形成に向けたその意味合いについて検討します。
  • 半導体パッケージングのブレークスルー: 2.5Dおよび3D技術を含む半導体パッケージングにおける最新の進歩と、CPOイノベーションを可能にする役割についての洞察。
  • 光学エンジン CPOの性能と効率の優位性を支える要因の分析。
  • AI相互接続のためのCPO: AIアプリケーションにおける銅線接続の限界に光I/Oがどのように対処し、効率、レイテンシ、データレートを向上させるかを探る。
  • スイッチ用 CPO: CPOの統合による高性能ネットワークスイッチにおける25%の効率向上の可能性の評価。
  • 課題と解決策: CPO採用の障害とそれを克服する戦略についての批判的レビュー。
  • 将来分析: 次世代CPOの予測と洞察、および業界への影響予測。
 
本レポートは、広範な調査と業界専門家へのインタビューに基づいており、データセンターとAI技術の未来を前進させるCo-Packaged Opticsの役割を戦略的に理解することに関心のあるすべての人に貴重な洞察を提供します。
  • 市場予測-10年データセンター人口累積予測
  • 10年AIアクセラレータ出荷台数予測
  • 10年AI用CPOインターコネクト(光I/O)ユニット出荷予測
  • 10年AI用CPOインターコネクト(光I/O)市場売上予測
  • 10年CPO対応ネットワークスイッチ出荷台数予測
  • 10年CPO対応ネットワークスイッチ市場収入予測
  • 10年CPO総市場収益予測

 



ページTOPに戻る


目次

1. エグゼクティブ・サマリー 1.1. 最新の高性能AIデータセンターとはどのようなものか? 1.2. スイッチ最新のデータセンターにおける主要コンポーネント 1.3. スイッチIC帯域幅の進歩とCPO(Co-Packaged Optics)技術の必要性 1.4. データセンター・アーキテクチャにおける主要課題の概要 1.5. ハイエンドデータセンターにおける光トランシーバの主要動向 1.6. プラグケーブルと比較した場合の CPO の設計判断 1.7. 光エンジン(OE)とは 1.8. 異種集積とCPO(Co-Packaged Optics) 1.9. 半導体パッケージにおける相互接続技術の概要 1.10. 主なCPOアプリケーション:ネットワークスイッチとコンピューティング光I/O 1.11. 高度な相互接続技術による EIC/PIC 統合 1.12. 2D から 3D への EIC/PIC 統合オプション 1.13. EIC/PICの各種パッケージング技術のベンチマーク表 1.14. 3D光学エンジンとICのパッケージ例 1.15. CPO+XPU/スイッチASICの3種類のパッケージ構造 1.16. 3.光インターネットワーキング・フォーラム(OIF)が定義した2 Tb/s CPOモジュール - 1 1.17. NvidiaのSoC、HBM、EIC、PICのコ・パッケージ基板上への3D集積(TSVインターポーザ) 1.18. 異なるレーザー集積技術のベンチマーク 1.19. CPO技術の課題と将来性 1.20. CPOデモ製品のベンチマーク 1.21. IDTechExが予測する将来のAIアーキテクチャ 1.22. AIインターコネクト用光I/O CPO予測(出荷台数) 1.23. AIインターコネクト用光I/O CPO予測(売上高/市場規模) 1.24. AIアクセラレータ用CPOネットワークスイッチ(L2スイッチ)の予測(出荷台数) 1.25. AIアクセラレータ向けCPOネットワークスイッチ(L2スイッチ)の予測(市場規模・売上高) 1.26. CPO市場全体 1.27. EIC/PIC集積技術別のCPO総出荷台数(百万台) 1.28. AIアクセラレータ用ネットワークスイッチ(L2スイッチ)のシステムインテグレーション パッケージング技術別出荷台数予測 1.29. 光I/Oのシステムインテグレーション パッケージング技術別予測(出荷個数) 2. 将来のAIシステムの課題とソリューション 2.1. はじめに 2.1.1. LLMの台頭と課題 2.1.2. 最新の高性能AIデータセンターとはどのようなものか? 2.1.3. エヌビディアの最先端AIシステムに迫る 2.1.4. スイッチ最新のデータセンターにおける主要コンポーネント 2.2. ハイエンド・データセンターにおけるネットワーク・スイッチの相互接続の課題 2.2.1. ハイエンドデータセンターにおけるネットワークスイッチの相互接続技術のロードマップ 2.2.2. 高帯域幅システムにおけるセルデスのボトルネック 2.2.3. 高帯域幅システムにおけるセルデスのボトルネックに対するソリューション 2.2.4. プラガブルオプティクス - ボトルネックは何か? 2.2.5. オンボードオプティクス(OBO) 2.2.6. コ・パッケージド・オプティクス(CPO) 2.2.7. プラガブル光トランシーバー接続における伝送損失 2.2.8. プラガブル光と CPO の比較 2.2.9. プラガブルと比較した CPO の設計判断 2.2.10. スイッチ IC 帯域幅の進歩と CPO 技術の必要性 2.2.11. L2 フロントサイドネットワークアーキテクチャ図 CPO と非 CPO の比較 2.3. ハイエンドデータセンター向けコンピュートスイッチのインターコネクト(光I/O)の課題 2.3.1. AIには高性能接続が必要 2.3.2. 現在の AI システムのインターコネクトにおける銅線の数 2.3.3. AI における現在の銅線システムの限界 2.3.4. Nvidia の接続性の選択:高帯域幅システムにおける銅と光の比較 2.3.5. 高帯域幅システムにおける銅と光の比較:ベンチマーク 2.3.6. ハイエンドAIシステムにおける銅から光への相互接続 2.3.7. 現在のAIシステムアーキテクチャ 2.3.8. CuシステムによるL1バックサイド・コンピュート・アーキテクチャ 2.3.9. 光インターコネクトによるL1バックサイド・コンピュート・アーキテクチャー:Co-Packaged Optics (CPO) 2.3.10. 銅線インターコネクトを光接続に交換する機会:2.4. ハイエンドデータセンターにおける将来のAIシステム 2.4.1. 電力効率の比較:CPO対プラガブル光対銅インターコネクト 2.4.2. 60cmデータ伝送技術ベンチマークの遅延 2.4.3. IDTechExが予測する将来のAIアーキテクチャ 3. コ・パッケージド・オプティクス(CPO)入門 3.1. 本章の内容 3.2. PICの主要概念 3.2.1. フォトニック集積回路(PIC)とは? 3.2.2. PICとシリコンフォトニクスの違い 3.2.3. PICのアーキテクチャ 3.2.4. PICの利点と課題 3.3. 光エンジン(OE) 3.3.1. 光エンジンとは 3.3.2. 光エンジンの仕組み 3.3.3. 光電源 3.4. コ・パッケージド・オプティクス 3.4.1. コ・パッケージド・オプティクス(CPO)の3つの主要概念 3.4.2. CPOの主要技術構成要素 3.4.3. CPOの利点:遅延 3.4.4. CPO の利点:消費電力 3.4.5. CPO の利点:データレート 3.4.6. CPO の価値提案の概要 3.4.7. CPOにおける今後の課題 4. Co-Packaged Optics (CPO)のパッケージング 4.1. はじめに 4.1.1. 光トランシーバにパッケージされる主要コンポーネント 4.1.2. 異種集積とコ・パッケージド・フォトニクス 4.1.3. ネットワークスイッチ用CPO - パッケージングコンセプト 4.1.4. 例:1.6Tbps ネットワークスイッチ用CPO 4.1.5. XPU 用光 I/O としての CPO - パッケージングコンセプト 4.1.6. XPU用光I/OとしてのCPO-パッケージングコンセプト(続く) 4.1.7. 例コンピュートシリコン用 CPO 統合 4.1.8. CPO パッケージング技術の概要 4.2. 2.5Dおよび3D先端半導体パッケージング技術の概要と開発ロードマップ 4.2.1. 半導体パッケージングの進化ロードマップ 4.2.2. 半導体パッケージング - 技術の概要 4.2.3. 先端半導体パッケージング性能の主要指標 4.2.4. 半導体パッケージにおける相互接続技術の概要 4.2.5. 2.5D パッケージング構造の概要 4.2.6. 相互接続技術 - インターポーザ 4.2.7. パッシブインターポーザとアクティブインターポーザ 4.2.8. インターポーザーの構造RDL & スルーSi-Via 4.2.9. 2.5D 先端半導体パッケージング技術ポートフォリオ 4.3. 2.5D Siベースパッケージング技術 4.3.1. 2.Si を配線として使用する 5D パッケージング 4.3.2. 貫通電極(TSV)-現在と将来 4.3.3. 2.5次元Siベースパッケージングの開発動向 4.3.4. Si インターポーザーと Si ブリッジのベンチマーク 4.4. 2.5D 有機ベースパッケージング技術 4.4.1. 2.5D パッケージング - 高密度ファンアウト(FO)パッケージング 4.4.2. 再配線層(RDL) 4.4.3. 電子相互接続:SiO2 vs 有機誘電体 4.4.4. 2種類のファンアウト:パネルレベル 4.4.5. 2種類のファンアウト:ウェハーレベル 4.4.6. ウェーハレベルのファンアウト vs パネルレベルのファンアウト :違い 4.4.7. ファンアウトパッケージングの主要トレンド 4.4.8. 今後のファンアウトプロセスにおける課題 4.5. 2.5Dガラスベースのパッケージング技術 4.5.1. 半導体パッケージにおけるガラスの役割 4.5.2. 先端半導体パッケージのインターポーザーとしてのガラスコア 4.5.3. ガラスによるSiインターポーザーの限界の克服 4.5.4. ガラスと成形コンパウンドの比較 4.5.5. ガラスコア(インターポーザー)パッケージ - プロセスフロー 4.5.6. ガラスパッケージの課題 4.6. 3D 先端半導体パッケージング技術 4.6.1. バンプ技術の進化 4.6.2. 微細化バンプの課題 4.6.3. 先端半導体パッケージ用μバンプ 4.6.4. バンプレス Cu-Cu ハイブリッドボンディング 4.6.5. Cu-Cuハイブリッド接合の3つの方法:ベンチマーク 4.6.6. Cu-Cu ハイブリッドボンディング製造プロセスの課題 4.7. CPO パッケージング:EICとPICの統合 4.7.1. EIC/PIC集積-従来型配線技術による 4.7.2. 新しい配線技術によるEIC/PIC集積 4.7.3. 2Dから3DへのEIC/PIC集積オプション 4.7.4. EIC/PICの各種パッケージング技術のベンチマーク表 4.7.5. EIC/PICの2D集積の長所と短所 4.7.6. EIC/PICの2.5D統合の長所と短所 4.7.7. EIC/PICの3Dハイブリッド統合の長所と短所 4.7.8. EIC/PICの3Dモノリシック集積の長所と短所 4.8. EIC/PIC集積のためのTSV 4.8.1. CPOにおけるEIC/PIC統合用TSV 4.8.2. PIC と EIC の統合に TSV を使用する理由 4.8.3. シスコの光エンジンパッケージングアーキテクチャ 4.8.4. シスコ:EIC/PIC 統合用 2.5D チップオンチップ(CoC)パッケージングアーキテクチャ 4.8.5. シスコPIC/EIC 統合用 3D TSV 4.8.6. CPO における主な TSV 製造ステップと課題 - 1 4.8.7. CPOにおける主なTSV製造ステップと課題 - 2 4.8.8. シリコンフォトニクスのパッケージングオプション-TSVを使うか使わないか? 4.8.9. EIC/PIC集積用2.5D Siインターポーザーの長所と短所 4.9. EIC/PIC集積のためのファンアウト 4.9.1. ASEが提案するCPOパッケージ用ファンアウト・ソリューション 4.9.2. ASEのFOPOP-プロセス 4.9.3. CPO用FOPOPとWBパッケージの詳細分析 4.9.4. シリコンフォトニクス用光パッケージングプロセスの考察 - ASE 4.9.5. CPOにおけるPIC/EIC集積のためのSPILのFOEB(Fan-Out Embedded Bridge)構造 4.9.6. FOEB構造にPICとEICを集積するプロセスフロー 4.9.7. OEパッケージングにおけるプロセスの課題 4.9.8. ロックレー・フォトニクスはCPOパッケージング構造向けにFOWLPを提案している 4.9.9. Rockley PhotonicsのFOWLP CPOパッケージングプロセスフロー-1 4.9.10. ロックレーフォトニクスのFOWLP CPOパッケージングプロセスフロー - 2 4.9.11. EIC/PIC統合のためのファンアウト使用の課題 4.10. ガラスベースのCPOパッケージング技術 4.10.1. コーニングのビジョン 4.10.2. ガラスベースCPO - パッケージング構造 4.10.3. ガラスベース Co-packaged optics - プロセス開発 4.10.4. コーニングの 102.4 Tb/s テストビークル 4.11. EIC/PIC 統合用ハイブリッドボンディング 4.11.1. TSMC の先端半導体パッケージング技術ポートフォリオ 4.11.2. TSMC:AI向け統合HPC技術プラットフォーム 4.11.3. TSMCの光エンジン・ロードマップ - 1 4.11.4. TSMC の光エンジン・ロードマップ - 2 4.11.5. TSMC の iOIS - 統合光インターコネクション・システム 4.11.6. EICとPICを組み合わせた3D SoIC接合 4.11.7. TSMCの3D SoIC技術 4.11.8. ボンドピッチ微細化のロードマップ 4.12. OEとASIC/XPUなどのシステム統合 4.12.1. コ・パッケージングとコ・パッケージド・オプティクス(CPO)の比較 4.12.2. CPO+XPU/スイッチASICの3種類のパッケージ構造 4.12.3. 光学エンジンと集積回路(IC)を2Dまたは2.5D構成でパッケージする例 4.12.4. 2.5D構成におけるASICとのOE統合 4.12.5. 光エンジンと集積回路(IC)を3D構成でパッケージングする例 4.12.6. 将来の3D-CPO構造 4.12.7. NvidiaのSoC、HBM、EIC、PICのコ・パッケージ基板上への3D集積(TSVインターポーザ) 4.12.8. EIC/PIC の 3D 統合に基づく 51.2 Tb/s スイッチモジュールの例 4.12.9. ガラス・インターポーザー上でのEICとPICの3次元異種集積の製造プロセス 4.12.10. ガラスインターポーザー上にEIC/PICを3次元集積したスイッチモジュールの例 4.12.11. CPO技術の課題と将来の可能性 4.13. 光アライメントとレーザー集積 4.13.1. グレーティングとエッジカプラの比較:シリコンフォトニクス用高密度光I/Oの課題 4.13.2. 光アライメントの課題と解決策 - 1 4.13.3. 光アライメントの課題と解決策 - 2 4.13.4. 光アライメントの課題と解決策 - 3 4.13.5. 二つの調心アプローチ 4.13.6. 光ファイバーパッケージの複雑さの軽減 4.13.7. オンチップ光源集積方法 4.13.8. CPO用外部レーザー(1) 4.13.9. CPO用外部レーザー(2) 4.13.10. レーザーアタッチメント技術ベンチマーク - 1 4.13.11. レーザーアタッチ技術のベンチマーク - 2 4.13.12. 異なるレーザー統合技術のベンチマーク 4.13.13. 主な技術的課題:シリコン導波路とプレーナー光ファイバーとのサイズの不一致 4.13.14. ケーススタディ:CPO 用シリコンフォトニクス内蔵インターポーザー上のポリマー導波路 4.13.15. CPO用シリコンフォトニクス内蔵インターポーザーのプロセス概要 4.13.16. ポリマー光導波路付き新光有機基板 5. 主要スイッチ企業CPO の設計とロードマップ 5.1. 3.光インターネットワーキング・フォーラム(OIF)が定義した 2 Tb/s CPO モジュール - 1 5.2. 3.光インターネットワーキング・フォーラム(OIF)が定義した 2 Tb/s CPO モジュール - 1 5.3. ベンチマークされた CPO デモンストレーション製品 5.4. Cisco Co-Packaged Optics デモ 5.5. シスコCPO 電力効率 5.6. シスコ外部レーザー(ELFPP) 5.7. ブロードコム 5.8. Broadcom スイッチと Nvidia スイッチの比較 5.9. Broadcom の CPO 開発スケジュール 5.10. ブロードコムの CPO ポートフォリオ 5.11. インテル光インターコネクト 5.12. Intel Optical Compute Interconnect (2) 5.13. エヌビディアコ・パッケージド・オプティクスの機会 5.14. エヌビディア:コ・パッケージド・オプティクスの課題と最終的な考察 5.15. ラノバスの製品と進歩 5.16. UALink 5.17. Ayer Labs TeraPHY 6. 市場予測 6.1. データセンター予測手法 6.2. 世界のデータセンター人口とAIアクセラレータユニットの予測 6.3. 世界のデータセンター人口とAIアクセラレータユニット予測データ表 6.4. AIインターコネクト用光I/O CPO予測(出荷台数) 6.5. AIインターコネクト用光I/O CPO予測(売上高/市場規模) 6.6. AIアクセラレータ用CPOネットワークスイッチ(L2スイッチ)の予測(出荷台数) 6.7. AIアクセラレータ向けCPOネットワークスイッチ(L2スイッチ)データテーブル(出荷台数) 6.8. AIアクセラレータ向けCPOネットワークスイッチ(L2スイッチ)の予測(市場規模・売上高) 6.9. CPO総市場 6.10. EIC/PIC集積技術別CPO合計(出荷台数、百万台) 6.11. AIアクセラレータ用ネットワークスイッチ(L2スイッチ)のシステムインテグレーション パッケージング技術別予測(出荷台数) 6.12. 光I/Oのシステム統合 パッケージング技術別予測 (出荷個数) 6.13. パッケージング技術別CPO出荷台数予測表 7. 企業プロファイル 7.1. ACCRETECH(研削工具) 7.2. AEPONYX 7.3. Amkor ?アドバンスト・セミコンダクター・パッケージング 7.4. ASE ?アドバンスト・セミコンダクター・パッケージング 7.5. Ayar Labs:AI アクセラレータインターコネクト 7.6. CEA-Leti (アドバンスト・セミコンダクター・パッケージング) 7.7. コヒーレントフォトニック集積回路ベースのトランシーバー 7.8. EFFECTフォトニクス 7.9. EVG(3Dハイブリッドボンディングツール) 7.10. グローバルファウンドリーズ 7.11. HDマイクロシステムズ 7.12. ヘンケル(半導体パッケージング、接着技術部門) 7.13:プログラマブルフォトニック集積回路 7.14. JCETグループ 7.15. JSR株式会社 7.16. ライトインテリジェンス 7.17. ライトマター 7.18. リオニクス 7.19. リパック 7.20. LPKF 7.21. 三井金属鉱業(アドバンスト半導体パッケージング) 7.22. ナノワイヤード 7.23. レゾナック(RDL絶縁膜) 7.24. シンティル・フォトニクス 7.25. TOK 7.26. TSMC(アドバンストセミコンダクターパッケージング) 7.27. Vitron (Through-Glass Via Manufacturing) ?LPKF商標  

 

ページTOPに戻る


 

Summary

この調査レポートは、2025-2035年のコ・パッケージド・オプティクス(CPO)市場について詳細に調査・分析しています。
 
主な掲載内容(目次より抜粋)
  • 将来のAIシステムの課題と解決策
  • コ・パッケージド・オプティクス(CPO)の紹介
  • コ・パッケージド・オプティクス(CPO)のパッケージング
  • 主要スイッチ企業 CPO設計とロードマップ
 
Report Summary
The rise of Co-Packaged Optics (CPO)
Over the past decade, the capacity of data center Ethernet switches has surged from 0.64 Tbps to 25.6 Tbps, driven by the adoption of 64x 400 Gbps or 32x 800 Gbps pluggable optical transceiver modules. However, these high-speed modules, within their current form factors, pose significant challenges. Issues include the required densities of electrical and optical connectors, as well as escalating power consumption.
 
To achieve next-generation optical engines supporting 800 Gbps and beyond per module, the communication rate must double to at least 100 Gbps per lane. This increase introduces substantial signal integrity issues across the switch socket, motherboard, and edge connector, leading to heightened power dissipation at SerDes interfaces. In future Ethernet switching, these signal integrity problems may cause I/O power consumption to exceed that of the switch core. Additionally, the integration density of standard pluggable modules is limited by the QSFP/OSFP form factor, necessitating advanced thermal management solutions not yet widely available.
 
Co-Packaged Optics (CPO) presents a promising solution to these challenges. Unlike traditional pluggable models, CPO integrates optical modules directly onto the switch ASIC substrate, reducing electrical reach and effectively addressing signal integrity issues. This approach has gained traction among major data centers. However, optimizing the packaging strategy for CPO remains a topic of ongoing industry discussion and development. IDTechEx's latest report, "Co-Packaged Optics 2025-2035: Technologies, Market, and Forecasts," explores these advancements in CPO technology and packaging techniques enabling its adoption.
 
Key trend of optical transceiver in high-end data center. Source: IDTechEx
 
The importance of advanced semiconductor packaging technologies for Co-Packaged Optics (CPO)
The integration of CPO in data centers aims to boost I/O bandwidth and reduce energy consumption. The way photonic integrated circuits (PICs) are combined with electronic integrated circuits (EICs) and switch ICs can significantly influence the areal and edge bandwidth density, as well as packaging parasitics. These factors directly affect the transceiver's I/O bandwidth and energy efficiency, meaning improper integration can negate the advantages of silicon photonics.
 
For CPO, the integration of photonic and electronic components can be achieved through various methods, each with unique advantages and challenges. The most advanced and still in the R&D phase is the 3D monolithic integration embeds photonic components within an existing electronic process node with minimal alterations, co-locating active photonics and driving electronics within the same die. This reduces parasitics and simplifies packaging by eliminating the need for interface pads and bumps. However, monolithic integration typically uses older CMOS nodes, resulting in suboptimal photonic performance and higher energy consumption. Despite these limitations, it offers minimal impedance mismatch and simplified packaging.
 
Conversely, 2D integration places the PIC and EIC side by side on a PCB, connected by wire bonds or flip-chip. This method is straightforward and cost-effective, but introduces significant parasitic inductance, limiting aggregate I/O due to single-edge connections. While 2D integration is easy to package, the reliance on wirebonds constrains the transceiver bandwidth and increases energy consumption, making it less efficient for high-performance applications.
 
3D hybrid integration offers a more advanced solution by placing the EIC on top of the PIC, via various advanced semiconductor packaging technologies, including Through-Si-Via (TSV), high density fan-out, Cu-Cu hybrid bonding, active photonic interposer, etc, significantly reducing parasitics. The use of advanced semiconductor packaging technologies in 3D integration allows for dense pitch capabilities, enhancing performance. However, thermal dissipation remains a challenge, as the heat generated by the EIC can impact the PIC, necessitating advanced thermal management solutions. Despite these thermal challenges, 3D hybrid integration achieves higher performance due to minimized packaging parasitics.
 
2.5D integration serves as a compromise, with both the EIC and PIC flip-chipped onto a passive interposer with TSVs. This approach maintains manageable parasitics and dense pitch capabilities similar to 3D integration but adds complexity with the need for interposer traces. While 2.5D integration balances performance, cost, and fabrication turnaround, it incurs higher parasitics than 3D hybrid integration.
 
In summary, each integration method presents trade-offs between performance, complexity, and cost, guiding the choice based on specific application requirements and constraints.
 
Co-Packaged Optics (CPO) Market trajectory
According to IDTechEx, the Co-Packaged Optics (CPO) market is projected to exceed $1.2 billion by 2035, growing at a robust CAGR of 28.9% from 2025 to 2035. CPO network switches are expected to dominate revenue generation, driven by each switch potentially incorporating up to 16 CPO PICs. Optical interconnects for AI system will constitute approximately 20% of the market, with each AI accelerator typically utilizing one optical interconnect PIC to meet increasing demands for high-speed data processing and communication in advanced computing applications.
 
Total CPO market growth 2025 vs 2035. Source: IDTechEx
 
IDTechEx's latest report, titled "Co-Packaged Optics (CPO) 2025-2035: Technologies, Market, and Forecasts," offers an extensive exploration into the latest advancements within co-packaged optics technology. The report delves deep into key technical innovations and packaging trends, providing a comprehensive analysis of the entire value chain. It thoroughly evaluates the activities of major industry players and delivers detailed market forecasts, projecting how the adoption of CPO will reshape the landscape of future data center architecture.
 
Central to the report is the recognition of advanced semiconductor packaging as the cornerstone of co-packaged optics technology. IDTechEx places significant emphasis on understanding the potential roles that various semiconductor packaging technologies may play within the realm of CPO.
 
Key aspects of the report include:
This report provides a comprehensive analysis of Co-Packaged Optics (CPO), encompassing various critical aspects:
  • Market Dynamics: Examination of key players such as Nvidia, Broadcom, Cisco, Ranovus, and Intel, and the forces shaping the CPO landscape.
  • Innovations in CPO Design: Exploration of advanced CPO designs and their implications for enhancing data center efficiency and shaping future architecture.
  • Semiconductor Packaging Breakthroughs: Insight into the latest advancements in semiconductor packaging, including 2.5D and 3D technologies, and their role in enabling CPO innovation.
  • Optical Engines: Analysis of the drivers behind CPO's performance and efficiency advantages.
  • CPO for AI Interconnects: Exploration of how optical I/O can address the limitations of copper connections in AI applications, improving efficiency, latency, and data rates.
  • CPO for Switches: Assessment of the potential 25% efficiency gains in high-performance network switches through CPO integration.
  • Challenges and Solutions: Critical review of obstacles to CPO adoption and strategies to overcome them.
  • Future Analysis: Predictions and insights into the next generation of CPO and its anticipated impact on the industry.
 
The report is based on extensive research and interviews with industry experts and provides valuable insights for anyone interested in gaining a strategic understanding of Co-Packaged Optics' role in advancing the future of data center and AI technology.
  • Market Forecasts-10-year Data Center Population Cumulative Forecast
  • 10-year AI Accelerator Unit Shipments Forecast
  • 10-year CPO Interconnect for AI (Optical I/O) Unit Shipments Forecast
  • 10-year CPO Interconnect for AI (Optical I/O) Market Revenue Forecast
  • 10-year CPO-enabled Network Switch Unit Shipments Forecast
  • 10-year CPO-enabled Network Switch Market Revenue Forecast
  • 10-year Total CPO Market Revenue Forecast


ページTOPに戻る


Table of Contents

1. EXECUTIVE SUMMARY
1.1. What does a modern high-performance AI data center look like?
1.2. Switches: Key Components in Modern Data Center
1.3. Advancements in Switch IC Bandwidth and the Need for Co-Packaged Optics (CPO) Technology
1.4. Overview of key challenges in data center architectures
1.5. Key trend of optical transceiver in high-end data center
1.6. Design decisions for CPO compared to Pluggables
1.7. What is Optical Engine (OE)
1.8. Heterogeneous Integration and Co-Packaged Optics (CPO)
1.9. Overview of interconnection technique in semiconductor packaging
1.10. Key CPO applications: network switch and computing optical I/O
1.11. EIC/PIC integration by advanced interconnect technique
1.12. 2D to 3D EIC/PIC integration options
1.13. Benchmark table of different packaging technologies for EIC/PIC
1.14. Examples of packaging a 3D optical engine with an IC
1.15. Three types of CPO + XPU/switch ASIC packaging structures
1.16. 3.2 Tb/s CPO module defined by the Optical Internetworking Forum (OIF) - 1
1.17. Nvidia's 3D integration of SoC, HBM, EIC and PIC on co-packaged substrates (TSV interposer)
1.18. Benchmark of different laser integration technology
1.19. Challenges and Future Potential of CPO Technology
1.20. CPO Demonstration Products Benchmarked
1.21. Future AI Architecture predicted by IDTechEx
1.22. Optical I/O for AI interconnect CPO Forecast (Units Shipped)
1.23. Optical I/O for AI interconnect CPO Forecast (Revenue/Market Size)
1.24. CPO Network Switches (L2 Switches) for AI accelerators Forecast (Units Shipped)
1.25. CPO Network Switches (L2 Switches) for AI accelerators Forecast (Market Size and Revenue)
1.26. Total CPO Market
1.27. Total CPO unit shipped by different EIC/PIC integration technology (millions)
1.28. System integration of Network Switches (L2 Switches) for AI accelerators Forecast by packaging technologies (Unit shipped)
1.29. System integration of Optical I/O Forecast by packaging technologies (Units Shipped)
2. CHALLENGES AND SOLUTIONS FOR FUTURE AI SYSTEM
2.1. Introduction
2.1.1. The rise and the challenges of LLM
2.1.2. What does a modern high-performance AI data center look like?
2.1.3. Closer look into NVIDIA's state-of-the-art AI system
2.1.4. Switches: Key Components in Modern Data Center
2.2. Challenges in Network Switches interconnect for high-end data centers
2.2.1. Roadmap of interconnect technology for network switches in high-end data center
2.2.2. Serdes bottleneck in high-bandwidth systems
2.2.3. Solutions to Serdes bottlenecks in high-bandwidth systems
2.2.4. Pluggable optics - what are the bottlenecks?
2.2.5. On-Board Optics (OBO)
2.2.6. Co-Packaged Optics (CPO)
2.2.7. Transmission Losses in a Pluggable Optical Transceiver Connection
2.2.8. Pluggable optics vs CPO
2.2.9. Design decisions for CPO compared to Pluggables
2.2.10. Advancements in Switch IC Bandwidth and the Need for CPO Technology
2.2.11. L2 Frontside Network Architecture Diagram CPO versus non-CPO
2.3. Challenges in Compute Switches interconnect (i.e. Optical I/O) for high-end data centers
2.3.1. High performance connections are required for AI
2.3.2. Number of Cu wires in current AI system Interconnects
2.3.3. Limitations in current copper systems in AI
2.3.4. Nvidia's Connectivity Choices: Copper vs. Optical for High-Bandwidth Systems
2.3.5. Copper vs. Optical for High-Bandwidth Systems: Benchmark
2.3.6. Moving from Cu to Optical interconnects for high-end AI system
2.3.7. Current AI system Architecture
2.3.8. L1 Backside Compute Architecture with Cu systems
2.3.9. L1 Backside Compute Architecture with Optical Interconnect: Co-Packaged Optics (CPO)
2.3.10. Opportunities for swapping copper interconnects to optical connects:
2.4. Future AI system in high-end data center
2.4.1. Power efficiency comparison: CPO vs. pluggable optics vs. Copper Interconnects
2.4.2. Latency of 60cm Data Transmission Technology Benchmark
2.4.3. Future AI Architecture predicted by IDTechEx
3. INTRODUCTION TO CO-PACKAGED OPTICS (CPO)
3.1. What's covered in this chapter
3.2. PICs Key Concepts
3.2.1. What are Photonic Integrated Circuits (PICs)?
3.2.2. PICs vs Silicon Photonics - what are the differences
3.2.3. PIC Architecture
3.2.4. Advantages and Challenges of PIC
3.3. Optical Engine (OE)
3.3.1. What is Optical Engine
3.3.2. How an Optical Engine Works
3.3.3. Optical Power Supplies
3.4. Co-packaged Optics
3.4.1. Three key concepts in co-packaged optics (CPO)
3.4.2. Key technology building blocks for CPO
3.4.3. Benefits of CPO: Latency
3.4.4. Benefits of CPO: Power Consumption
3.4.5. Benefits of CPO: Data Rate
3.4.6. Overview of value proposition of CPO
3.4.7. Future challenges in CPO
4. PACKAGING FOR CO-PACKAGED OPTICS (CPO)
4.1. Introduction
4.1.1. Key components to be packaged in an optical transceiver
4.1.2. Heterogeneous Integration and Co-Packaged Photonics
4.1.3. CPO for network switch - packaging concept
4.1.4. Example: 1.6 Tbps Co-packaged optics for network switch
4.1.5. CPO as optical I/O for XPUs - packaging concept
4.1.6. CPO as optical I/O for XPUs - packaging concept (follow)
4.1.7. Example: CPO integration for compute silicon
4.1.8. Overview of CPO packaging technologies
4.2. Overview and development roadmap of 2.5D and 3D Advanced Semiconductor Packaging Technologies
4.2.1. Evolution roadmap of semiconductor packaging
4.2.2. Semiconductor packaging - an overview of technology
4.2.3. Key metrics for advanced semiconductor packaging performance
4.2.4. Overview of interconnection technique in semiconductor packaging
4.2.5. Overview of 2.5D packaging structure
4.2.6. Interconnection technique - Interposer
4.2.7. Passive vs Active Interposer
4.2.8. Interposer Structure: RDL & Through-Si-Via
4.2.9. 2.5D advanced semiconductor packaging technology portfolio
4.3. 2.5D Si-based Packaging technologies
4.3.1. 2.5D packaging that involves Si as interconnect
4.3.2. Through Si Via (TSV) - now and the future
4.3.3. Developing trend for 2.5D Si-based packaging
4.3.4. Si interposer vs Si bridge benchmark
4.4. 2.5D Organic-based Packaging technologies
4.4.1. 2.5D packaging - high density fan-out (FO) packaging
4.4.2. Redistribution Layer (RDL)
4.4.3. Electronic interconnects: SiO2 vs Organic dielectric
4.4.4. Two types of fan-out: Panel level
4.4.5. Two types of fan-out: Wafer level
4.4.6. Wafer level Fan-out vs Panel level Fan-out : The differences
4.4.7. Key trends in fan-out packaging
4.4.8. Challenges in future fan-out process
4.5. 2.5D Glass-based Packaging technologies
4.5.1. Roles of glass in semiconductor packaging
4.5.2. Glass core as interposer for advanced semiconductor packaging
4.5.3. Overcoming Limitations of Si interposers with Glass
4.5.4. Glass vs molding compound
4.5.5. Glass core (interposer) package - process flow
4.5.6. Challenges of glass packaging
4.6. 3D Advanced Semiconductor Packaging technologies
4.6.1. Evolution of bumping technologies
4.6.2. Challenges in scaling bumps
4.6.3. µ bump for advanced semiconductor packaging
4.6.4. Bumpless Cu-Cu hybrid bonding
4.6.5. Three ways of Cu-Cu hybrid bonding: benchmark
4.6.6. Challenges in Cu-Cu hybrid bonding manufacturing process
4.7. CPO packaging: EIC and PIC integration
4.7.1. EIC/PIC integration - by conventional interconnect technique
4.7.2. EIC/PIC integration by emerging interconnect technique
4.7.3. 2D to 3D EIC/PIC integration options
4.7.4. Benchmark table of different packaging technologies for EIC/PIC
4.7.5. Pros and Cons of 2D integration of EIC/PIC
4.7.6. Pros and Cons of 2.5D integration of EIC/PIC
4.7.7. Pros and Cons of 3D hybrid integration of EIC/PIC
4.7.8. Pros and Cons of 3D monolithic integration of EIC/PIC
4.8. TSV for EIC/PIC integration
4.8.1. TSV for EIC/PIC integration in CPO
4.8.2. Why using TSV for PIC and EIC integration
4.8.3. Cisco packaging architectures of optical engine over generations
4.8.4. Cisco: 2.5D Chip-on-Chip (CoC) Packaging Architecture for EIC/PIC integration
4.8.5. Cisco: 3D TSV for PIC/EIC integration
4.8.6. Key TSV Fabrication Steps and Challenges in CPO - 1
4.8.7. Key TSV Fabrication Steps and Challenges in CPO - 2
4.8.8. Packaging options for silicon photonics - w/ or w/o TSV?
4.8.9. Pros and Cons of 2.5D Si interposer for EIC/PIC integration
4.9. Fan-out for EIC/PIC integration
4.9.1. ASE's proposed fan-out solution for CPO packaging
4.9.2. FOPOP from ASE - process
4.9.3. Detailed analysis of FOPOP vs WB packaging for CPO
4.9.4. Optical Packaging Process Considerations for Silicon Photonics - ASE
4.9.5. SPIL's Fan-Out Embedded Bridge (FOEB) Structure for PIC/EIC integration in CPO
4.9.6. Process flow of integrating PIC and EIC in a FOEB structure
4.9.7. Process challenges in packaging OE
4.9.8. Rockley Photonics proposes FOWLP for CPO packaging structure
4.9.9. Rockley Photonics's FOWLP CPO packaging process flow - 1
4.9.10. Rockley Photonics's FOWLP CPO packaging process flow - 2
4.9.11. Challenges of using fan-out for EIC/PIC integration
4.10. Glass-based CPO Packaging technologies
4.10.1. Glass-based Co-packaged optics - Corning's vision
4.10.2. Glass-based Co-packaged optics - Packaging structure
4.10.3. Glass-based Co-packaged optics - process development
4.10.4. Corning's 102.4 Tb/s test vehicle
4.11. Hybrid bonding for EIC/PIC integration
4.11.1. TSMC's advanced semiconductor packaging technology portfolio
4.11.2. TSMC: Integrated HPC Technology Platform for AI
4.11.3. Optical Engine Roadmap from TSMC - 1
4.11.4. Optical Engine Roadmap from TSMC - 2
4.11.5. iOIS - Integrated Optical Interconnection System from TSMC
4.11.6. Combining EIC and PIC with 3D SoIC bond
4.11.7. TSMC 3D SoIC Technology
4.11.8. Roadmap of bond pitch scaling
4.12. System integration of OE and ASIC/XPU, etc
4.12.1. Co-packaging vs Co-packaged optics (CPO)
4.12.2. Three types of CPO + XPU/switch ASIC packaging structures
4.12.3. Examples of packaging an optical engine with an integrated circuit (IC) in a 2D or 2.5D configuration
4.12.4. OE integration with ASIC in a 2.5D configuration
4.12.5. Examples of packaging an optical engine with an integrated circuit (IC) in a 3D configuration
4.12.6. Future 3D-CPO structure
4.12.7. Nvidia's 3D integration of SoC, HBM, EIC and PIC on co-packaged substrates (TSV interposer)
4.12.8. Example of a 51.2 Tb/s switch module based on 3D integration of EIC/PIC
4.12.9. Process in fabrication of the 3D heterogeneous integration of EIC and PIC on a glass interposer
4.12.10. Example of a switch module based on 3D integration of EIC/PIC on glass interposer
4.12.11. Challenges and Future Potential of CPO Technology
4.13. Optical alignment and Laser integration
4.13.1. Grating vs. Edge Couplers: Challenges in High-Density Optical I/O for Silicon Photonics
4.13.2. Optical alignment challenges and solutions - 1
4.13.3. Optical alignment challenges and solutions - 2
4.13.4. Optical alignment challenges and solutions - 3
4.13.5. Two alignment approaches
4.13.6. Reducing optical fiber packaging complexity
4.13.7. On-chip light source integration methods
4.13.8. External Lasers for CPO (1)
4.13.9. External Lasers for CPO (2)
4.13.10. Laser attach technology benchmark - 1
4.13.11. Laser attach technology benchmark - 2
4.13.12. Benchmark of different laser integration technology
4.13.13. Key technical challenge: the size mismatch between silicon waveguides and planar optical fibers
4.13.14. Case studies: polymer waveguide on a Silicon-photonics-embedded interposer for CPO
4.13.15. Processes overview for Silicon-photonics-embedded interposer for CPO
4.13.16. SHINKO organic substrate with polymer optical waveguides
5. KEY SWITCH COMPANIES: CPO DESIGN AND ROADMAP
5.1. 3.2 Tb/s CPO module defined by the Optical Internetworking Forum (OIF) - 1
5.2. 3.2 Tb/s CPO module defined by the Optical Internetworking Forum (OIF) - 1
5.3. CPO Demonstration Products Benchmarked
5.4. Cisco Co-Packaged Optics Demo
5.5. Cisco: CPO Power Efficiency
5.6. Cisco: External Lasers (ELFPP)
5.7. Broadcom
5.8. Broadcom Switch and Nvidia Switch compared
5.9. Broadcom's CPO development timeline
5.10. Broadcom's CPO portfolio
5.11. Intel Optical Compute Interconnect
5.12. Intel Optical Compute Interconnect (2)
5.13. Nvidia: Opportunities for Co-Packaged Optics
5.14. Nvidia: Challenges and Final Thoughts for Co-Packaged Optics
5.15. Ranovus products and progress
5.16. UALink
5.17. Ayer Labs TeraPHY
6. MARKET FORECASTS
6.1. Data Center Forecast Methodology
6.2. Global Data Center Population and AI Accelerator Unit Forecasts
6.3. Global Data Center Population and AI Accelerator Unit Forecast Data Tables
6.4. Optical I/O for AI interconnect CPO Forecast (Units Shipped)
6.5. Optical I/O for AI interconnect CPO Forecast (Revenue/Market Size)
6.6. CPO Network Switches (L2 Switches) for AI accelerators Forecast (Units Shipped)
6.7. CPO Network Switches (L2 Switches) for AI accelerators Data Table (Units Shipped)
6.8. CPO Network Switches (L2 Switches) for AI accelerators Forecast (Market Size and Revenue)
6.9. Total CPO Market
6.10. Total CPO by different EIC/PIC integration technology (unit shipment, millions)
6.11. System integration of Network Switches (L2 Switches) for AI accelerators Forecast by packaging technologies (Unit shipped)
6.12. System integration of Optical I/O Forecast by packaging technologies (Units Shipped)
6.13. Table for CPO unit forecast by packaging technologies
7. COMPANY PROFILES
7.1. ACCRETECH (Grinding Tool)
7.2. AEPONYX
7.3. Amkor — Advanced Semiconductor Packaging
7.4. ASE — Advanced Semiconductor Packaging
7.5. Ayar Labs: AI Accelerator Interconnect
7.6. CEA-Leti (Advanced Semiconductor Packaging)
7.7. Coherent: Photonic Integrated Circuit-Based Transceivers
7.8. EFFECT Photonics
7.9. EVG (3D Hybrid Bonding Tool)
7.10. GlobalFoundries
7.11. HD Microsystems
7.12. Henkel (Semiconductor packaging, Adhesive Technologies division)
7.13. iPronics: Programmable Photonic Integrated Circuits
7.14. JCET Group
7.15. JSR Corporation
7.16. Lightelligence
7.17. Lightmatter
7.18. LioniX
7.19. LIPAC
7.20. LPKF
7.21. Mitsui Mining & Smelting (Advanced Semiconductor Packaging)
7.22. NanoWired
7.23. Resonac (RDL Insulation Layer)
7.24. Scintil Photonics
7.25. TOK
7.26. TSMC (Advanced Semiconductor Packaging)
7.27. Vitron (Through-Glass Via Manufacturing) — A LPKF Trademark
 

 

ページTOPに戻る

ご注文は、お電話またはWEBから承ります。お見積もりの作成もお気軽にご相談ください。

webからのご注文・お問合せはこちらのフォームから承ります

本レポートと同分野の最新刊レポート

  • 本レポートと同分野の最新刊レポートはありません。

よくあるご質問


IDTechEx社はどのような調査会社ですか?


IDTechExはセンサ技術や3D印刷、電気自動車などの先端技術・材料市場を対象に広範かつ詳細な調査を行っています。データリソースはIDTechExの調査レポートおよび委託調査(個別調査)を取り扱う日... もっと見る


調査レポートの納品までの日数はどの程度ですか?


在庫のあるものは速納となりますが、平均的には 3-4日と見て下さい。
但し、一部の調査レポートでは、発注を受けた段階で内容更新をして納品をする場合もあります。
発注をする前のお問合せをお願いします。


注文の手続きはどのようになっていますか?


1)お客様からの御問い合わせをいただきます。
2)見積書やサンプルの提示をいたします。
3)お客様指定、もしくは弊社の発注書をメール添付にて発送してください。
4)データリソース社からレポート発行元の調査会社へ納品手配します。
5) 調査会社からお客様へ納品されます。最近は、pdfにてのメール納品が大半です。


お支払方法の方法はどのようになっていますか?


納品と同時にデータリソース社よりお客様へ請求書(必要に応じて納品書も)を発送いたします。
お客様よりデータリソース社へ(通常は円払い)の御振り込みをお願いします。
請求書は、納品日の日付で発行しますので、翌月最終営業日までの当社指定口座への振込みをお願いします。振込み手数料は御社負担にてお願いします。
お客様の御支払い条件が60日以上の場合は御相談ください。
尚、初めてのお取引先や個人の場合、前払いをお願いすることもあります。ご了承のほど、お願いします。


データリソース社はどのような会社ですか?


当社は、世界各国の主要調査会社・レポート出版社と提携し、世界各国の市場調査レポートや技術動向レポートなどを日本国内の企業・公官庁及び教育研究機関に提供しております。
世界各国の「市場・技術・法規制などの」実情を調査・収集される時には、データリソース社にご相談ください。
お客様の御要望にあったデータや情報を抽出する為のレポート紹介や調査のアドバイスも致します。



詳細検索

このレポートへのお問合せ

03-3582-2531

電話お問合せもお気軽に

 

2024/09/19 10:28

144.64 円

160.77 円

193.27 円

ページTOPに戻る